Home
last modified time | relevance | path

Searched defs:mem_read (Results 1 – 25 of 215) sorted by relevance

123456789

/dports/graphics/blender/blender-2.91.0/source/blender/imbuf/intern/dds/
H A DStream.cpp41 unsigned int mem_read(Stream &mem, unsigned long long &i) in mem_read() function
52 unsigned int mem_read(Stream &mem, unsigned int &i) in mem_read() function
63 unsigned int mem_read(Stream &mem, unsigned short &i) in mem_read() function
74 unsigned int mem_read(Stream &mem, unsigned char &i) in mem_read() function
85 unsigned int mem_read(Stream &mem, unsigned char *i, unsigned int cnt) in mem_read() function
H A DBlockDXT.cpp602 void mem_read(Stream &mem, BlockDXT1 &block) in mem_read() function
609 void mem_read(Stream &mem, AlphaBlockDXT3 &block) in mem_read() function
616 void mem_read(Stream &mem, BlockDXT3 &block) in mem_read() function
622 void mem_read(Stream &mem, AlphaBlockDXT5 &block) in mem_read() function
627 void mem_read(Stream &mem, BlockDXT5 &block) in mem_read() function
633 void mem_read(Stream &mem, BlockATI1 &block) in mem_read() function
638 void mem_read(Stream &mem, BlockATI2 &block) in mem_read() function
644 void mem_read(Stream &mem, BlockCTX1 &block) in mem_read() function
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-ams/ashenden/compliant/attributes-and-groups/
H A Dmem_read.vhd20 entity mem_read is entity
38 procedure mem_read ( address : in natural; procedure
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/ashenden/compliant/
H A Dch_20_fg_20_13.vhd45 procedure mem_read ( address : in natural; procedure
H A Dch_07_fg_07_05.vhd36 signal mem_read, mem_request, mem_ready, reset : bit := '0'; signal
H A Dch_05_fg_05_09.vhd41 signal mem_read, mem_write : bit := '0'; signal
H A Dch_07_fg_07_03.vhd37 signal mem_read, mem_request, mem_ready : bit := '0'; signal
H A Dch_07_fg_07_22.vhd41 signal mem_read, mem_ack : bit := '0'; signal
H A Dch_04_fg_04_06.vhd52 signal mem_read, mem_write : bit := '0'; signal
/dports/emulators/mess/mame-mame0226/src/devices/sound/
H A Dnes_apu.h50 auto mem_read() { return m_mem_read_cb.bind(); } in mem_read() function
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/zpu/wishbone/
H A Dzpu_wb_bridge.vhd53 mem_read : out std_logic_vector(wordSize-1 downto 0); port
H A Dzpu_system.vhd67 signal mem_read : std_logic_vector(wordSize-1 downto 0); signal
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/opencores/zpu/wishbone/
H A Dzpu_wb_bridge.vhd53 mem_read : out std_logic_vector(wordSize-1 downto 0); port
H A Dzpu_system.vhd67 signal mem_read : std_logic_vector(wordSize-1 downto 0); signal
/dports/emulators/mame/mame-mame0226/src/devices/sound/
H A Dnes_apu.h50 auto mem_read() { return m_mem_read_cb.bind(); } in mem_read() function
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-ams/ashenden/compliant/subprograms/
H A Dinstruction_interpreter-1.vhd29 signal mem_read, mem_request, mem_ready, reset : bit := '0'; signal
H A Dinstruction_interpreter.vhd31 signal mem_read, mem_request, mem_ready : bit := '0'; signal
H A Dcache.vhd34 signal mem_read, mem_ack : bit := '0'; signal
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-ams/ashenden/compliant/digital-modeling/
H A Dcomputer_system.vhd34 signal mem_read, mem_write : bit := '0'; signal
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/zpu/
H A Dzpu_top_pkg.vhd19 mem_read : out std_logic_vector(wordSize-1 downto 0); port in zpu_top_pkg.zpu_wb_bridge
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/opencores/zpu/
H A Dzpu_top_pkg.vhd19 mem_read : out std_logic_vector(wordSize-1 downto 0); port in zpu_top_pkg.zpu_wb_bridge
/dports/security/libressl-static/libressl-3.3.5/crypto/bio/
H A Dbss_null.c153 null_puts(BIO *bp, const char *str) in mem_read()
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-ams/ashenden/compliant/composite-data/
H A Dcomputer.vhd54 signal mem_read, mem_write : bit := '0'; signal
/dports/cad/cascade-compiler/cascade-f4f7ae8bd1dd379790c0e58c286df90b8d1cdcde/share/cascade/test/benchmark/mips32/
H A Dmips32.v85 wire[31:0] mem_read; net
/dports/devel/radare2/radare2-5.1.1/libr/core/
H A Dcmd_search_rop.c232 *reg_read = NULL, *reg_write = NULL, *mem_read = NULL, in rop_classify_constant() local
317 *reg_read = NULL, *reg_write = NULL, *mem_read = NULL, in rop_classify_mov() local
412 *reg_read = NULL, *reg_write = NULL, *mem_read = NULL, in rop_classify_arithmetic() local
540 *reg_write = NULL, *mem_read = NULL, *mem_write = NULL; in rop_classify_arithmetic_const() local

123456789