Home
last modified time | relevance | path

Searched defs:mq0 (Results 1 – 22 of 22) sorted by relevance

/dports/biology/gatk/gatk-4.2.0.0/src/main/java/org/broadinstitute/hellbender/tools/walkers/annotator/
H A DMappingQualityZero.java49 final long mq0 = IntStream.range(0, likelihoods.numberOfSamples()).boxed() in annotate() local
58 static String formattedValue(long mq0) { in formattedValue()
/dports/lang/gauche/Gauche-0.9.10/examples/mqueue-cpp/
H A Dtest.scm13 (define mq0 #f) unknown
/dports/biology/py-pysam/pysam-0.18.0/samtools/
H A Dbam2bcf.h82 unsigned int mq0; member
110 unsigned int depth, ori_depth, mq0; member
/dports/biology/samtools/samtools-1.14/
H A Dbam2bcf.h82 unsigned int mq0; member
110 unsigned int depth, ori_depth, mq0; member
/dports/biology/bcftools/bcftools-1.14/
H A Dbam2bcf.h108 unsigned int mq0; member
135 unsigned int depth, ori_depth, mq0; member
/dports/biology/bio-mocha/bcftools-1.14/
H A Dbam2bcf.h108 unsigned int mq0; member
135 unsigned int depth, ori_depth, mq0; member
/dports/biology/py-pysam/pysam-0.18.0/bcftools/
H A Dbam2bcf.h108 unsigned int mq0; member
135 unsigned int depth, ori_depth, mq0; member
/dports/science/berkeleygw/BGW-2.0.0/BSE/
H A Ddistrib.f9045 integer :: numbl_max,nnp,nn,np0,mq0,nq0,cls,neig,lrwork,lwork,nbr,nbc local
H A Ddiagonalize.f90482 integer :: nbc,nbce,nbr,locsize,nn,nnp,np0,mq0 local
/dports/security/nettle/nettle-3.7.3/
H A DdesCode.h276 #define KEYMAPSMALL(x,z,mq0,mq1,hq,lq0,lq1,sq,ms0,ms1,ms2,ms3,hs,ls0,ls1,ls2,ls3)\ argument
286 #define KEYMAPQUICK(x,z,mq0,mq1,hq,lq0,lq1,sq,ms0,ms1,ms2,ms3,hs,ls0,ls1,ls2,ls3)\ argument
/dports/security/sequoia/sequoia-383133f6be990237044900a4df676488bf8dd71e/cargo-crates/nettle-src-3.5.1-2/nettle/
H A DdesCode.h276 #define KEYMAPSMALL(x,z,mq0,mq1,hq,lq0,lq1,sq,ms0,ms1,ms2,ms3,hs,ls0,ls1,ls2,ls3)\ argument
286 #define KEYMAPQUICK(x,z,mq0,mq1,hq,lq0,lq1,sq,ms0,ms1,ms2,ms3,hs,ls0,ls1,ls2,ls3)\ argument
/dports/multimedia/gstreamer1-plugins-theora/gst-plugins-base-1.16.2/tests/check/elements/
H A Ddecodebin.c623 GstElement *mq0, *mq1, *mq2; in GST_START_TEST() local
/dports/multimedia/gstreamer1-plugins/gst-plugins-base-1.16.2/tests/check/elements/
H A Ddecodebin.c623 GstElement *mq0, *mq1, *mq2; in GST_START_TEST() local
/dports/audio/gstreamer1-plugins-vorbis/gst-plugins-base-1.16.2/tests/check/elements/
H A Ddecodebin.c623 GstElement *mq0, *mq1, *mq2; in GST_START_TEST() local
/dports/audio/gstreamer1-plugins-opus/gst-plugins-base-1.16.2/tests/check/elements/
H A Ddecodebin.c623 GstElement *mq0, *mq1, *mq2; in GST_START_TEST() local
/dports/audio/gstreamer1-plugins-ogg/gst-plugins-base-1.16.2/tests/check/elements/
H A Ddecodebin.c623 GstElement *mq0, *mq1, *mq2; in GST_START_TEST() local
/dports/audio/gstreamer1-plugins-cdparanoia/gst-plugins-base-1.16.2/tests/check/elements/
H A Ddecodebin.c623 GstElement *mq0, *mq1, *mq2; in GST_START_TEST() local
/dports/x11-toolkits/gstreamer1-plugins-pango/gst-plugins-base-1.16.2/tests/check/elements/
H A Ddecodebin.c623 GstElement *mq0, *mq1, *mq2; in GST_START_TEST() local
/dports/graphics/gstreamer1-plugins-libvisual/gst-plugins-base-1.16.2/tests/check/elements/
H A Ddecodebin.c623 GstElement *mq0, *mq1, *mq2; in GST_START_TEST() local
/dports/graphics/gstreamer1-plugins-gl/gst-plugins-base-1.16.2/tests/check/elements/
H A Ddecodebin.c623 GstElement *mq0, *mq1, *mq2; in GST_START_TEST() local
/dports/x11/gstreamer1-plugins-x/gst-plugins-base-1.16.2/tests/check/elements/
H A Ddecodebin.c623 GstElement *mq0, *mq1, *mq2; in GST_START_TEST() local
/dports/science/simbody/simbody-Simbody-3.7/examples/TaskSpaceControl-Atlas/
H A DTaskSpaceControl-Atlas.cpp510 mq0 = modelMobod.getFirstQIndex(m_modelState); in mapModelToRealRobot() local