Home
last modified time | relevance | path

Searched defs:shift_reg (Results 1 – 25 of 162) sorted by relevance

1234567

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/extramfifo/
H A Drefill_randomizer.v38 reg [6:0] shift_reg; register
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/extramfifo/
H A Drefill_randomizer.v41 reg [6:0] shift_reg; register
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/ashenden/compliant/
H A Dch_01_tb_01_03.vhd50 entity shift_reg is entity
H A Dch_14_fg_14_05.vhd56 entity shift_reg is entity
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1231/
H A Dtop.vhdl13 signal shift_reg : std_logic_vector (SRL_WIDTH-1 downto 0); signal
/dports/cad/nvc/nvc-r1.5.3/test/regress/
H A Dconcat3.vhd4 entity shift_reg is entity
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/control_lib/
H A Dsd_spi.v77 reg [7:0] shift_reg; register
H A Dram_loader.v54 reg [6:0] shift_reg; register
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/isdn/hardware/mISDN/
H A Disdnhdlc.h30 u8 shift_reg; member
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/isdn/hardware/mISDN/
H A Disdnhdlc.h30 u8 shift_reg; member
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/isdn/hardware/mISDN/
H A Disdnhdlc.h30 u8 shift_reg; member
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue632/
H A Dbug.vhdl134 signal shift_reg : std_logic_vector(num_of_databits-1 downto 0); signal
/dports/comms/gnuradio/gnuradio-3.8.4.0/gr-digital/lib/
H A Dpacket_header_ofdm.cc85 gr::digital::lfsr shift_reg(0x8a, 0x6f, 7); in packet_header_ofdm() local
H A Dheader_format_ofdm.cc83 gr::digital::lfsr shift_reg(0x8a, 0x6f, 7); in header_format_ofdm() local
/dports/emulators/qemu-guest-agent/qemu-5.0.1/hw/sd/
H A Dssi-sd.c246 DriveInfo *dinfo; in sd_crc7()
263 object_property_set_bool(OBJECT(carddev), true, "spi", &err); in sd_crc7()
/dports/emulators/mess/mame-mame0226/src/devices/bus/ieee488/
H A Dc8050fdc.h90 uint16_t shift_reg; member
H A Dc2040fdc.h88 uint16_t shift_reg; member
/dports/emulators/mame/mame-mame0226/src/devices/bus/ieee488/
H A Dc8050fdc.h90 uint16_t shift_reg; member
H A Dc2040fdc.h88 uint16_t shift_reg; member
/dports/emulators/mess/mame-mame0226/src/devices/machine/
H A Damigafdc.h85 uint16_t shift_reg; member
H A D64h156.h132 uint16_t shift_reg; member
H A Dm68sfdc.h113 uint16_t shift_reg; member
/dports/emulators/mame/mame-mame0226/src/devices/machine/
H A Dm68sfdc.h113 uint16_t shift_reg; member
H A D64h156.h132 uint16_t shift_reg; member
H A Damigafdc.h85 uint16_t shift_reg; member

1234567