Home
last modified time | relevance | path

Searched defs:shift_register (Results 1 – 25 of 48) sorted by relevance

12

/dports/devel/urjtag/urjtag-2021.03/src/stapl/
H A Djamcrc.c28 urj_jam_crc_init (unsigned short *shift_register) in urj_jam_crc_init()
44 urj_jam_crc_update (unsigned short *shift_register, int data) in urj_jam_crc_update()
77 urj_jam_get_crc_value (unsigned short *shift_register) in urj_jam_get_crc_value()
/dports/multimedia/schroedinger/schroedinger-1.0.11/schroedinger/
H A Dschrounpack.h23 uint32_t shift_register; member
/dports/comms/libfec/fec-3.0.1/
H A Dencode_rs_av.c41 union { vector unsigned char v[2]; unsigned char c[32]; } shift_register; in encode_rs_av() local
H A Dencode_rs_8.c87 union { vector unsigned char v[2]; unsigned char c[32]; } shift_register; in encode_rs_8_av() local
/dports/audio/goattracker/goattracker-2.76/src/resid-fp/
H A Dwavefp.h69 reg24 shift_register; variable
/dports/emulators/vice/vice-3.5/src/resid-dtv/
H A Dwave.h76 reg24 shift_register; variable
H A Dsid.h78 reg24 shift_register[3]; variable
/dports/emulators/libretro-vice/vice-libretro-5725415/vice/src/resid-dtv/
H A Dwave.h76 reg24 shift_register; variable
H A Dsid.h78 reg24 shift_register[3]; variable
/dports/games/libretro-beetle_bsnes/beetle-bsnes-libretro-b65ded5bde278c18af538c687db9b72c995b220a/mednafen/snes/src/chip/21fx/
H A D21fx.hpp32 uint64 shift_register; member
/dports/devel/valgrind-lts/valgrind-dragonfly-dragonfly/cachegrind/
H A Dcg_branchpred.c88 static UWord shift_register = 0; /* Contains global history */ variable
/dports/devel/valgrind/valgrind-dragonfly-dragonfly/cachegrind/
H A Dcg_branchpred.c88 static UWord shift_register = 0; /* Contains global history */ variable
/dports/audio/goattracker/goattracker-2.76/src/resid/
H A Dsid.h67 reg24 shift_register[3]; variable
/dports/emulators/pcem/pcem_emulator-pcem-faf5d6423060/src/resid-fp/
H A Dsid.h67 reg24 shift_register[3]; variable
H A Dwave.h63 reg24 shift_register; variable
/dports/audio/libsidplay2/sidplay-libs-2.1.1/resid/
H A Dsid.h70 reg24 shift_register[3]; variable
/dports/audio/lmms/lmms-1.2.2/plugins/sid/
H A Dsid.h67 reg24 shift_register[3]; variable
/dports/audio/deadbeef/deadbeef-0.7.2/plugins/sid/sidplay-libs/resid/
H A Dsid.h76 reg24 shift_register[3]; variable
/dports/editors/encryptpad/EncryptPad-0.5.0.1/deps/botan/src/lib/modes/cfb/
H A Dcfb.cpp103 void CFB_Mode::shift_register() in shift_register() function in Botan::CFB_Mode
/dports/audio/libsidplayfp/libsidplayfp-2.3.1/src/builders/residfp-builder/residfp/
H A DWaveformGenerator.h96 unsigned int shift_register; variable
/dports/mail/thunderbird/thunderbird-91.8.0/comm/third_party/botan/src/lib/modes/cfb/
H A Dcfb.cpp103 void CFB_Mode::shift_register() in shift_register() function in Botan::CFB_Mode
/dports/emulators/libretro-vice/vice-libretro-5725415/vice/src/residfp/builders/residfp-builder/residfp/
H A DWaveformGenerator.h96 unsigned int shift_register; variable
/dports/emulators/dosbox-staging/dosbox-staging-0.78.0/src/libs/residfp/
H A DWaveformGenerator.h94 unsigned int shift_register = 0; variable
/dports/security/botan2/Botan-2.18.2/src/lib/modes/cfb/
H A Dcfb.cpp103 void CFB_Mode::shift_register() in shift_register() function in Botan::CFB_Mode
/dports/audio/ocp/ocp-0.2.90/playsid/libsidplayfp-git/src/builders/residfp-builder/residfp/
H A DWaveformGenerator.h96 unsigned int shift_register; variable

12