Home
last modified time | relevance | path

Searched defs:valid_i (Results 1 – 18 of 18) sorted by relevance

/dports/graphics/embree/embree-3.13.2/common/simd/
H A Dsimd.h48 __forceinline int next_unique(vbool& valid, const vint& vi, /*out*/ vbool& valid_i) in next_unique()
74 __forceinline int next_unique_index(vbool& valid, const vint& vi, /*out*/ vbool& valid_i) in next_unique_index()
/dports/graphics/embree/embree-3.13.2/kernels/geometry/
H A Dinstance_intersector.cpp225 …void InstanceIntersectorK<K>::intersect(const vbool<K>& valid_i, const Precalculations& pre, RayHi… in intersect()
254 …vbool<K> InstanceIntersectorK<K>::occluded(const vbool<K>& valid_i, const Precalculations& pre, Ra… in occluded()
285 …void InstanceIntersectorKMB<K>::intersect(const vbool<K>& valid_i, const Precalculations& pre, Ray… in intersect()
313 …vbool<K> InstanceIntersectorKMB<K>::occluded(const vbool<K>& valid_i, const Precalculations& pre, … in occluded()
H A Dgrid_soa_intersector_packet.h82 …static __forceinline void intersect(const vbool<K>& valid_i, Precalculations& pre, RayHitK<K>& ray… in intersect()
113 …static __forceinline vbool<K> occluded(const vbool<K>& valid_i, Precalculations& pre, RayK<K>& ray… in occluded()
222 …static __forceinline void intersect(const vbool<K>& valid_i, Precalculations& pre, RayHitK<K>& ray… in intersect()
238 …static __forceinline void intersect(const vbool<K>& valid_i, Precalculations& pre, RayHitK<K>& ray… in intersect()
282 …static __forceinline vbool<K> occluded(const vbool<K>& valid_i, Precalculations& pre, RayK<K>& ray… in occluded()
300 …static __forceinline vbool<K> occluded(const vbool<K>& valid_i, Precalculations& pre, RayK<K>& ray… in occluded()
H A Dconeline_intersector.h16 static __forceinline bool intersectCone(const vbool<M>& valid_i, in intersectCone()
H A Droundline_intersector.h535 static __forceinline bool intersectConeSphere(const vbool<M>& valid_i, in intersectConeSphere()
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1390/
H A Daes_enc.vhdl38 valid_i : in std_logic; -- input key/data valid flag port
H A Daes_pkg.vhdl46 valid_i : in std_logic; port in aes_pkg.aes_enc
64 valid_i : in std_logic; port in aes_pkg.aes_dec
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue690/
H A Dsource.vhdl18 valid_i: in std_logic := '1' port
/dports/graphics/embree/embree-3.13.2/kernels/bvh/
H A Dbvh_intersector_hybrid.cpp105 …ybrid<N, K, types, robust, PrimitiveIntersectorK, single>::intersect(vint<K>* __restrict__ valid_i, in intersect()
373 … K, types, robust, PrimitiveIntersectorK, single>::intersectCoherent(vint<K>* __restrict__ valid_i, in intersectCoherent()
599 …Hybrid<N, K, types, robust, PrimitiveIntersectorK, single>::occluded(vint<K>* __restrict__ valid_i, in occluded()
784 …, K, types, robust, PrimitiveIntersectorK, single>::occludedCoherent(vint<K>* __restrict__ valid_i, in occludedCoherent()
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug24065/
H A Dcic_up.vhd18 valid_i : in std_logic; port
/dports/graphics/embree/embree-3.13.2/kernels/common/
H A Dgeometry.cpp159 const void* valid_i = args->valid; in interpolateN() local
H A Dscene_subdiv_mesh.cpp901 const void* valid_i = args->valid; in interpolateN() local
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/
H A Dep_rx_crc_size_check.vhd81 valid_i : in std_logic; port in ep_rx_crc_size_check.behavioral.ep_rx_bypass_queue
/dports/graphics/embree/embree-3.13.2/tutorials/hair_geometry/
H A Dhair_geometry_device.cpp230 int* valid_i = args->valid; in occlusionFilter() local
/dports/graphics/embree/embree-3.13.2/tutorials/pathtracer/
H A Dpathtracer_device.cpp1384 int* valid_i = args->valid; in intersectionFilterOBJ() local
1437 int* valid_i = args->valid; in occlusionFilterOpaque() local
1452 int* valid_i = args->valid; in occlusionFilterOBJ() local
1507 int* valid_i = args->valid; in occlusionFilterHair() local
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/models/
H A DFIFO_GENERATOR_V4_3.v857 wire valid_i; net
2034 wire valid_i; net
H A DFIFO_GENERATOR_V6_1.v1519 wire valid_i; net
2863 wire valid_i; net
/dports/security/john/john-1.9.0-jumbo-1/src/
H A Dntlmv1_mschapv2_fmt_plug.c175 static int valid_i, valid_j; variable