Home
last modified time | relevance | path

Searched defs:vpi_handle (Results 1 – 21 of 21) sorted by relevance

/dports/cad/iverilog/verilog-11.0/vvp/
H A Dvpi_event.cc77 vpiHandle __vpiNamedEvent::vpi_handle(int code) in vpi_handle() function in __vpiNamedEvent
H A Dvpi_const.cc307 vpiHandle __vpiStringParam::vpi_handle(int code) in vpi_handle() function in __vpiStringParam
502 vpiHandle __vpiBinaryParam::vpi_handle(int code) in vpi_handle() function in __vpiBinaryParam
732 vpiHandle __vpiRealParam::vpi_handle(int code) in vpi_handle() function in __vpiRealParam
H A Darray_common.cc140 vpiHandle __vpiArrayWord::as_word_t::vpi_handle(int code) in vpi_handle() function in __vpiArrayWord::as_word_t
H A Dvpi_bit.cc224 vpiHandle __vpiBit::as_bit_t::vpi_handle(int code) in vpi_handle() function in __vpiBit::as_bit_t
H A Dvpi_real.cc175 vpiHandle __vpiRealVar::vpi_handle(int code) in vpi_handle() function in __vpiRealVar
H A Dvpi_scope.cc370 vpiHandle __vpiScope::vpi_handle(int code) in vpi_handle() function in __vpiScope
730 vpiHandle vpiPortInfo::vpi_handle(int code) in vpi_handle() function in vpiPortInfo
H A Dvpi_time.cc298 vpiHandle __vpiSystemTime::vpi_handle(int code) in vpi_handle() function in __vpiSystemTime
H A Dvpi_darray.cc247 vpiHandle __vpiDarrayVar::vpi_handle(int code) in vpi_handle() function in __vpiDarrayVar
H A Darray.cc295 vpiHandle __vpiArray::vpi_handle(int code) in vpi_handle() function in __vpiArray
477 vpiHandle __vpiArrayVthrA::vpi_handle(int code) in vpi_handle() function in __vpiArrayVthrA
561 vpiHandle __vpiArrayVthrAPV::vpi_handle(int code) in vpi_handle() function in __vpiArrayVthrAPV
H A Ddelay.cc1015 vpiHandle __vpiModPathSrc::vpi_handle(int code) in vpi_handle() function in __vpiModPathSrc
1049 vpiHandle __vpiModPathTerm::vpi_handle(int code) in vpi_handle() function in __vpiModPathTerm
H A Dvpi_priv.cc57 vpiHandle __vpiHandle::vpi_handle(int) in vpi_handle() function in __vpiHandle
1171 vpiHandle vpi_handle(PLI_INT32 type, vpiHandle ref) in vpi_handle() function
H A Dvpi_signal.cc1056 vpiHandle __vpiSignal::vpi_handle(int code) in vpi_handle() function in __vpiSignal
1588 vpiHandle __vpiPV::vpi_handle(int code) in vpi_handle() function in __vpiPV
H A Dvpi_tasks.cc150 virtual vpiHandle vpi_handle(int code) { return systask_handle(code, this); } in vpi_handle() function
/dports/cad/ghdl/ghdl-1.0.0/src/grt/
H A Dvpi_thunk.h44 vpiHandle (*vpi_handle) (int type, vpiHandle ref); member
H A Dvpi_thunk.c110 vpi_handle (int type, vpiHandle ref) in vpi_handle() function
H A Dgrt-vpi.adb811 function vpi_handle (aType : Integer; Ref : vpiHandle) return vpiHandle subprogram
816 Trace_Start ("vpi_handle ("); argument
H A Dgrt-vpi.ads217 function vpi_handle (aType: Integer; Ref: vpiHandle) subprogspec
/dports/cad/iverilog/verilog-11.0/vpi/
H A Dlibvpi.c66 vpiHandle vpi_handle(PLI_INT32 type, vpiHandle ref) in vpi_handle() function
/dports/cad/iverilog/verilog-11.0/
H A Dvpi_modules.cc50 vpiHandle vpi_handle(PLI_INT32, vpiHandle) { return 0; } in vpi_handle() function
/dports/cad/verilator/verilator-4.216/include/
H A Dverilated_vpi.cpp1439 vpiHandle vpi_handle(PLI_INT32 type, vpiHandle object) { in vpi_handle() function
/dports/cad/gplcver/gplcver-2.12a.src/src/
H A Dv_vpi.c3382 extern vpiHandle vpi_handle(PLI_INT32 type, vpiHandle referenceHandle) in vpi_handle() function