Home
last modified time | relevance | path

Searched defs:y168 (Results 1 – 1 of 1) sorted by relevance

/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue30/
H A Dbasicblocks.vhdl5747 y168: out std_logic; port
6276 y168: out std_logic_vector((N-1) downto 0); port
6540 y168: out std_logic; port in decoderNx256.struct_decoderNx256.decoder1x256