Home
last modified time | relevance | path

Searched refs:DOA (Results 51 – 75 of 252) sorted by relevance

1234567891011

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/fifo/
H A Dbuffer_pool.v157 (.DOA(buf_doa[i]),.ADDRA(buf_addra),.CLKA(wb_clk_i),.DIA(wb_dat_i),.DIPA(4'h0),
/dports/science/dalton/dalton-66052b3af5ea7225e31178bf9a8b031913c72190/DALTON/cc/
H A Dcc_mkrv.F64 LOGICAL DOA, DOB, DOAP, DOBP, ASTRIX, DONINV, LDUM
109 DOA = IPDD .LE. 6
110 DOB = .NOT. DOA
114 DOAP = MOD(IPDD, 3) .EQ. 0 .AND. DOA
119 IF (DOA .AND. R12NOA) GOTO 999
497 IF (DOA) THEN
/dports/devel/xc3sprog/xc3sprog-r769/bscan_spi/
H A Dbscan_xc7_spi.vhd73 DOA => RAM_DO, -- Port A 1-bit Data Output
/dports/net-mgmt/tcpreplay/tcpreplay-4.3.4/docs/
H A DTODO142 . Use libnids to read the pcaps. This seems DOA at this time since
/dports/cad/yosys/yosys-yosys-0.12/techlibs/xilinx/
H A Dcells_xtra.v573 output [0:0] DOA; port
663 output [0:0] DOA; port
753 output [0:0] DOA; port
851 output [0:0] DOA; port
951 output [0:0] DOA; port
1051 output [0:0] DOA; port
1143 output [1:0] DOA; port
1233 output [1:0] DOA; port
1331 output [1:0] DOA; port
1431 output [1:0] DOA; port
[all …]
/dports/misc/py-orange3-network/orange3-network-ec534c9/orangecontrib/network/networks/
H A Dleu_by_pmid_items.tab235 HLA-DOA <=0.00 16806233, 1999352, 9088974, 15817706, 15847654, 8168144, 15265882, 12439622, 8890155…
/dports/science/nwchem/nwchem-7b21660b82ebd85ef659f6fba7e1e73433b0bd0a/doc/user/
H A Dccsd.tex200 DOA <integer array default 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2>
/dports/science/nwchem-data/nwchem-7.0.2-release/doc/user/
H A Dccsd.tex200 DOA <integer array default 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2>
/dports/science/dalton/dalton-66052b3af5ea7225e31178bf9a8b031913c72190/DALTON/abacus/
H A Dher2dir.F394 DOA = IATOM .EQ. NCENTA
402 IF (DOA) THEN
448 IF (DOA .OR. DOB) THEN
/dports/games/el-data/el_data/
H A Dmapinfo.lst106 Seridia 0 0 0 0 ./maps/guildmap_doa.elm rain # DOA Guild Map
/dports/cad/yosys/yosys-yosys-0.12/techlibs/nexus/
H A Dcells_xtra.v611 output [17:0] DOA; port
1090 output [31:0] DOA; port
1458 output [17:0] DOA; port
1623 output [17:0] DOA; port
2063 output [31:0] DOA; port
/dports/dns/p5-DNS-Ldns/ldns-1.8.1/
H A Dconfigure.ac711 AC_ARG_ENABLE(rrtype-doa, AC_HELP_STRING([--enable-rrtype-doa], [Enable draft RR type DOA.]))
714 AC_DEFINE_UNQUOTED([RRTYPE_DOA], [], [Define this to enable RR type DOA.])
/dports/dns/py-ldns/ldns-1.8.1/
H A Dconfigure.ac711 AC_ARG_ENABLE(rrtype-doa, AC_HELP_STRING([--enable-rrtype-doa], [Enable draft RR type DOA.]))
714 AC_DEFINE_UNQUOTED([RRTYPE_DOA], [], [Define this to enable RR type DOA.])
/dports/dns/ldns/ldns-1.8.1/
H A Dconfigure.ac711 AC_ARG_ENABLE(rrtype-doa, AC_HELP_STRING([--enable-rrtype-doa], [Enable draft RR type DOA.]))
714 AC_DEFINE_UNQUOTED([RRTYPE_DOA], [], [Define this to enable RR type DOA.])
/dports/x11-fonts/inconsolata-ttf/fonts-efcbdc5/tools/encodings/
H A Dethiopic_unique-glyphs.nam396 0x2D8C ETHIOPIC SYLLABLE DOA
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b200/planahead/planahead.data/cache/
H A Db200_ngc_d1c0f267.edif723 (port (array (rename DOA "DOA<31:0>") 32)
14853 (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx"))
14959 (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx"))
15065 (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx"))
15171 (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx"))
15277 (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx"))
15383 (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx"))
15489 (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx"))
15595 (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx"))
15701 (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx"))
[all …]
/dports/dns/p5-DNS-Ldns/ldns-1.8.1/ldns/
H A Dconfig.h.in377 /* Define this to enable RR type DOA. */
/dports/dns/knot-resolver/knot-resolver-5.4.3/daemon/lua/
H A Dkres.lua162 DOA = 259,
/dports/dns/py-ldns/ldns-1.8.1/ldns/
H A Dconfig.h.in377 /* Define this to enable RR type DOA. */
/dports/dns/ldns/ldns-1.8.1/ldns/
H A Dconfig.h.in377 /* Define this to enable RR type DOA. */
/dports/games/wtf/wtf-20211110/
H A Dacronyms133 DOA dead on arrival
/dports/dns/getdns/getdns-1.5.2/
H A DChangeLog13 * DOA rr-type
/dports/devel/mcpp/mcpp-2.7.2/cpp-test/test-t/
H A Dm4095.h2396 #define DOA macro
/dports/devel/mcpp/mcpp-2.7.2/test-t/
H A Dm4095.h2396 #define DOA macro
/dports/math/highs/HiGHS-cfe064e/check/instances/
H A Dgreenbea.mps3518 DOA...BA BOA...BA -1. FAT0..J. -100.
18857 UP 85BOUND DOA...BA 6.
18884 UP 85BOUND DOA...EH 44.
18918 UP 85BOUND DOA...EM 110.
18954 UP 85BOUND DOA...EZ 178.
18987 UP 85BOUND DOA...JP 117.
19053 UP 85BOUND DOA...SG 7.
19081 UP 85BOUND DOA...U1 132.
19129 UP 85BOUND DOA...U3 320.
19171 UP 85BOUND DOA...U5 73.
[all …]

1234567891011