Home
last modified time | relevance | path

Searched refs:AddrBits (Results 1 – 25 of 27) sorted by relevance

12

/dports/emulators/mess/mame-mame0226/src/devices/machine/
H A Dmb8421.cpp27 template <typename Type, unsigned AddrBits, unsigned DataBits>
28 constexpr Type dual_port_mailbox_ram_base<Type, AddrBits, DataBits>::DATA_MASK;
29 template <typename Type, unsigned AddrBits, unsigned DataBits>
30 constexpr size_t dual_port_mailbox_ram_base<Type, AddrBits, DataBits>::RAM_SIZE;
31 template <typename Type, unsigned AddrBits, unsigned DataBits>
32 constexpr offs_t dual_port_mailbox_ram_base<Type, AddrBits, DataBits>::ADDR_MASK;
33 template <typename Type, unsigned AddrBits, unsigned DataBits>
34 constexpr offs_t dual_port_mailbox_ram_base<Type, AddrBits, DataBits>::INT_ADDR_LEFT;
35 template <typename Type, unsigned AddrBits, unsigned DataBits>
36 constexpr offs_t dual_port_mailbox_ram_base<Type, AddrBits, DataBits>::INT_ADDR_RIGHT;
H A Dmb8421.h89 template <typename Type, unsigned AddrBits, unsigned DataBits> in DECLARE_DEVICE_TYPE()
201 static constexpr size_t RAM_SIZE = make_bitmask<size_t>(AddrBits) + 1; // max RAM word size in DECLARE_DEVICE_TYPE()
/dports/emulators/mame/mame-mame0226/src/devices/machine/
H A Dmb8421.cpp27 template <typename Type, unsigned AddrBits, unsigned DataBits>
28 constexpr Type dual_port_mailbox_ram_base<Type, AddrBits, DataBits>::DATA_MASK;
29 template <typename Type, unsigned AddrBits, unsigned DataBits>
30 constexpr size_t dual_port_mailbox_ram_base<Type, AddrBits, DataBits>::RAM_SIZE;
31 template <typename Type, unsigned AddrBits, unsigned DataBits>
32 constexpr offs_t dual_port_mailbox_ram_base<Type, AddrBits, DataBits>::ADDR_MASK;
33 template <typename Type, unsigned AddrBits, unsigned DataBits>
34 constexpr offs_t dual_port_mailbox_ram_base<Type, AddrBits, DataBits>::INT_ADDR_LEFT;
35 template <typename Type, unsigned AddrBits, unsigned DataBits>
36 constexpr offs_t dual_port_mailbox_ram_base<Type, AddrBits, DataBits>::INT_ADDR_RIGHT;
H A Dmb8421.h89 template <typename Type, unsigned AddrBits, unsigned DataBits> in DECLARE_DEVICE_TYPE()
201 static constexpr size_t RAM_SIZE = make_bitmask<size_t>(AddrBits) + 1; // max RAM word size in DECLARE_DEVICE_TYPE()
/dports/cad/digital/Digital-0.27/src/main/resources/verilog/
H A DDIG_RegisterFile.v9 parameter AddrBits = 4
14 input [(AddrBits-1):0] Rw,
16 input [(AddrBits-1):0] Ra,
17 input [(AddrBits-1):0] Rb,
22 reg [(Bits-1):0] memory[0:((1 << AddrBits)-1)];
H A DDIG_RAMDualAccess.v9 parameter AddrBits = 4
14 input [(AddrBits-1):0] \1A ,
15 input [(AddrBits-1):0] \2A ,
22 reg [(Bits-1):0] memory [0:((1 << AddrBits)-1)];
H A DDIG_RAMAsync.v9 parameter AddrBits = 4
12 input [(AddrBits-1):0] A,
17 reg [(Bits-1):0] memory[0:((1 << AddrBits) - 1)];
H A DDIG_RAMDualPort.v9 parameter AddrBits = 4
12 input [(AddrBits-1):0] A,
19 reg [(Bits-1):0] memory[0:((1 << AddrBits) - 1)];
H A DDIG_BlockRAMDualPort.v9 parameter AddrBits = 4
12 input [(AddrBits-1):0] A,
18 reg [(Bits-1):0] memory[0:((1 << AddrBits) - 1)];
H A DDIG_ROM.v5 romMaxSize := 1 << elem.AddrBits;
9 aBitRange := format("[%d:0]", elem.AddrBits - 1);
22 lastAddr := format("%d'h%x", elem.AddrBits, romSize - 1); ?>
/dports/cad/digital/Digital-0.27/src/main/resources/vhdl/
H A DDIG_RegisterFile.tem8 AddrBits : integer ); <? vhdl.registerGeneric("AddrBits");?>
14 Rw: in std_logic_vector ((AddrBits-1) downto 0);
16 Ra: in std_logic_vector ((AddrBits-1) downto 0);
17 Rb: in std_logic_vector ((AddrBits-1) downto 0) );
21 type memoryType is array(0 to (2**AddrBits)-1) of STD_LOGIC_VECTOR((Bits-1) downto 0);
H A DDIG_RAMDualAccess.tem8 AddrBits : integer ); <? vhdl.registerGeneric("AddrBits");?>
15 n1A: in std_logic_vector ((AddrBits-1) downto 0);
17 n2A: in std_logic_vector ((AddrBits-1) downto 0) );
22 type memoryType is array(0 to (2**AddrBits)-1) of STD_LOGIC_VECTOR((Bits-1) downto 0);
H A DDIG_RAMAsync.tem8 AddrBits : integer ); <? vhdl.registerGeneric("AddrBits");?>
11 A: in std_logic_vector ((AddrBits-1) downto 0);
18 type memoryType is array(0 to (2**AddrBits)-1) of STD_LOGIC_VECTOR((Bits-1) downto 0);
H A DDIG_BlockRAMDualPort.tem8 AddrBits : integer ); <? vhdl.registerGeneric("AddrBits");?>
11 A: in std_logic_vector ((AddrBits-1) downto 0);
18 type memoryType is array(0 to (2**AddrBits)-1) of std_logic_vector((Bits-1) downto 0);
H A DDIG_RAMDualPort.tem8 AddrBits : integer ); <? vhdl.registerGeneric("AddrBits");?>
11 A: in std_logic_vector ((AddrBits-1) downto 0);
20 type memoryType is array(0 to (2**AddrBits)-1) of STD_LOGIC_VECTOR((Bits-1) downto 0);
H A DDIG_ROM.tem13 A: in <?= vhdl.type(elem.AddrBits)?>;
44 elsif A > <?= vhdl.value(sizeOf(elem.Data)-1,elem.AddrBits)?> then
/dports/lang/zig/zig-0.9.0/lib/libc/include/any-windows-any/
H A Drtmv2.h42 UCHAR AddrBits[RTM_MAX_ADDRESS_SIZE]; member
51 … = AF_INET; (NetAddress)->NumBits = (USHORT) (Len); (*(ULONG *) ((NetAddress)->AddrBits)) = (Addr);
52 …Addr,Len,NetAddress) (Len) = (NetAddress)->NumBits; (Addr) = (*(ULONG *) ((NetAddress)->AddrBits));
53 …Mask) (NetAddress)->AddressFamily = AF_INET; (*(ULONG *) ((NetAddress)->AddrBits)) = (Addr); RTM_I…
54 …ADDR_AND_MASK(Addr,Mask,NetAddress) (Addr) = (*(ULONG *) ((NetAddress)->AddrBits)); (Mask) = RTM_I…
/dports/lang/zig-devel/zig-0.9.0/lib/libc/include/any-windows-any/
H A Drtmv2.h42 UCHAR AddrBits[RTM_MAX_ADDRESS_SIZE]; member
51 … = AF_INET; (NetAddress)->NumBits = (USHORT) (Len); (*(ULONG *) ((NetAddress)->AddrBits)) = (Addr);
52 …Addr,Len,NetAddress) (Len) = (NetAddress)->NumBits; (Addr) = (*(ULONG *) ((NetAddress)->AddrBits));
53 …Mask) (NetAddress)->AddressFamily = AF_INET; (*(ULONG *) ((NetAddress)->AddrBits)) = (Addr); RTM_I…
54 …ADDR_AND_MASK(Addr,Mask,NetAddress) (Addr) = (*(ULONG *) ((NetAddress)->AddrBits)); (Mask) = RTM_I…
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue1051/
H A Dpsi_tb_i2c_pkg.vhd73 AddrBits : in integer := 7; -- 7 or 10
119 AddrBits : in integer := 7; -- 7 or 10
503 AddrBits : in integer := 7; -- 7 or 10
510 if AddrBits = 7 then
515 elsif AddrBits = 10 then
663 AddrBits : in integer := 7; -- 7 or 10
672 if AddrBits = 7 then
677 elsif AddrBits = 10 then
/dports/devel/llvm-devel/llvm-project-f05c95f10fc1d8171071735af8ad3a9e87633120/clang/lib/Sema/
H A DSemaChecking.cpp15076 unsigned AddrBits = in CheckArrayAccess() local
15079 if (index.getBitWidth() < AddrBits) in CheckArrayAccess()
15080 index = index.zext(AddrBits); in CheckArrayAccess()
15092 if (index.getActiveBits() <= AddrBits) { in CheckArrayAccess()
15097 if (!Overflow && Product.getActiveBits() <= AddrBits) in CheckArrayAccess()
15103 llvm::APInt MaxElems = llvm::APInt::getMaxValue(AddrBits); in CheckArrayAccess()
15104 MaxElems = MaxElems.zext(std::max(AddrBits + 1, ElemBytes.getBitWidth())); in CheckArrayAccess()
15117 << toString(index, 10, true) << AddrBits in CheckArrayAccess()
/dports/devel/wasi-compiler-rt13/llvm-project-13.0.1.src/clang/lib/Sema/
H A DSemaChecking.cpp14833 unsigned AddrBits = in CheckArrayAccess() local
14836 if (index.getBitWidth() < AddrBits) in CheckArrayAccess()
14837 index = index.zext(AddrBits); in CheckArrayAccess()
14849 if (index.getActiveBits() <= AddrBits) { in CheckArrayAccess()
14854 if (!Overflow && Product.getActiveBits() <= AddrBits) in CheckArrayAccess()
14860 llvm::APInt MaxElems = llvm::APInt::getMaxValue(AddrBits); in CheckArrayAccess()
14861 MaxElems = MaxElems.zext(std::max(AddrBits + 1, ElemBytes.getBitWidth())); in CheckArrayAccess()
14874 << toString(index, 10, true) << AddrBits in CheckArrayAccess()
/dports/devel/wasi-libcxx/llvm-project-13.0.1.src/clang/lib/Sema/
H A DSemaChecking.cpp14833 unsigned AddrBits = in CheckArrayAccess() local
14836 if (index.getBitWidth() < AddrBits) in CheckArrayAccess()
14837 index = index.zext(AddrBits); in CheckArrayAccess()
14849 if (index.getActiveBits() <= AddrBits) { in CheckArrayAccess()
14854 if (!Overflow && Product.getActiveBits() <= AddrBits) in CheckArrayAccess()
14860 llvm::APInt MaxElems = llvm::APInt::getMaxValue(AddrBits); in CheckArrayAccess()
14861 MaxElems = MaxElems.zext(std::max(AddrBits + 1, ElemBytes.getBitWidth())); in CheckArrayAccess()
14874 << toString(index, 10, true) << AddrBits in CheckArrayAccess()
/dports/lang/rust/rustc-1.58.1-src/src/llvm-project/clang/lib/Sema/
H A DSemaChecking.cpp14833 unsigned AddrBits = in CheckArrayAccess() local
14836 if (index.getBitWidth() < AddrBits) in CheckArrayAccess()
14837 index = index.zext(AddrBits); in CheckArrayAccess()
14849 if (index.getActiveBits() <= AddrBits) { in CheckArrayAccess()
14854 if (!Overflow && Product.getActiveBits() <= AddrBits) in CheckArrayAccess()
14860 llvm::APInt MaxElems = llvm::APInt::getMaxValue(AddrBits); in CheckArrayAccess()
14861 MaxElems = MaxElems.zext(std::max(AddrBits + 1, ElemBytes.getBitWidth())); in CheckArrayAccess()
14874 << toString(index, 10, true) << AddrBits in CheckArrayAccess()
/dports/devel/llvm13/llvm-project-13.0.1.src/clang/lib/Sema/
H A DSemaChecking.cpp14833 unsigned AddrBits = in CheckArrayAccess() local
14836 if (index.getBitWidth() < AddrBits) in CheckArrayAccess()
14837 index = index.zext(AddrBits); in CheckArrayAccess()
14849 if (index.getActiveBits() <= AddrBits) { in CheckArrayAccess()
14854 if (!Overflow && Product.getActiveBits() <= AddrBits) in CheckArrayAccess()
14860 llvm::APInt MaxElems = llvm::APInt::getMaxValue(AddrBits); in CheckArrayAccess()
14861 MaxElems = MaxElems.zext(std::max(AddrBits + 1, ElemBytes.getBitWidth())); in CheckArrayAccess()
14874 << toString(index, 10, true) << AddrBits in CheckArrayAccess()
/dports/lang/clang-mesa/clang-13.0.1.src/lib/Sema/
H A DSemaChecking.cpp14833 unsigned AddrBits = in CheckArrayAccess() local
14836 if (index.getBitWidth() < AddrBits) in CheckArrayAccess()
14837 index = index.zext(AddrBits); in CheckArrayAccess()
14849 if (index.getActiveBits() <= AddrBits) { in CheckArrayAccess()
14854 if (!Overflow && Product.getActiveBits() <= AddrBits) in CheckArrayAccess()
14860 llvm::APInt MaxElems = llvm::APInt::getMaxValue(AddrBits); in CheckArrayAccess()
14861 MaxElems = MaxElems.zext(std::max(AddrBits + 1, ElemBytes.getBitWidth())); in CheckArrayAccess()
14874 << toString(index, 10, true) << AddrBits in CheckArrayAccess()

12