Home
last modified time | relevance | path

Searched refs:DBA_CPLD_PL_SPI_MOSI (Results 1 – 3 of 3) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/
H A Ddb_pins.xdc52 set_property PACKAGE_PIN A3 [get_ports DBA_CPLD_PL_SPI_MOSI]
H A Ddb_timing.xdc89 DBA_CPLD_PL_SPI_MOSI \
H A Dn3xx.v220 output DBA_CPLD_PL_SPI_MOSI, port
3530 DBA_CPLD_PL_SPI_MOSI}),