Home
last modified time | relevance | path

Searched refs:DBA_CPLD_PS_SPI_CS_B (Results 1 – 2 of 2) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/
H A Ddb_pins.xdc55 set_property PACKAGE_PIN AE15 [get_ports DBA_CPLD_PS_SPI_CS_B]; # DBA_CPLD_PS_SPI_ADDR[1]
H A Dn3xx.v203 output DBA_CPLD_PS_SPI_CS_B, port
3334 assign DBA_CPLD_PS_SPI_CS_B = spi0_ss0;