Home
last modified time | relevance | path

Searched refs:DBA_TX_N (Results 1 – 2 of 2) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/
H A Ddb_pins.xdc94 set_property PACKAGE_PIN T1 [get_ports DBA_TX_N[0]]
96 set_property PACKAGE_PIN R3 [get_ports DBA_TX_N[1]]
98 set_property PACKAGE_PIN P1 [get_ports DBA_TX_N[2]]
100 set_property PACKAGE_PIN N3 [get_ports DBA_TX_N[3]]
H A Dn3xx.v247 output [3:0] DBA_TX_N, port
3745 .aDacTx_n(DBA_TX_N), //out std_logic_vector(3:0)