Home
last modified time | relevance | path

Searched refs:M_AXI_NET0_ARVALID (Results 1 – 2 of 2) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/mg/
H A Dn3xx.v529 wire M_AXI_NET0_ARVALID; net
1732 .s_axi_arvalid(M_AXI_NET0_ARVALID),
2830 .M_AXI_NET0_arvalid(M_AXI_NET0_ARVALID),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/
H A Dn3xx.v516 wire M_AXI_NET0_ARVALID; net
1723 .s_axi_arvalid(M_AXI_NET0_ARVALID),
2805 .M_AXI_NET0_arvalid(M_AXI_NET0_ARVALID),