Home
last modified time | relevance | path

Searched refs:NPIO_RX1_N (Results 1 – 3 of 3) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/
H A Dmb_pins.xdc142 set_property PACKAGE_PIN AG7 [get_ports {NPIO_RX1_N}]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/mg/
H A Dn3xx.v40 input NPIO_RX1_N, port
1441 .rxn (NPIO_RX1_N),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/
H A Dn3xx.v40 input NPIO_RX1_N, port
1431 .rxn (NPIO_RX1_N),