Home
last modified time | relevance | path

Searched refs:PSCLK (Results 1 – 25 of 27) sorted by relevance

12

/dports/cad/digital/Digital-0.27/src/main/resources/verilog/
H A DDIG_DCM_SP.v53 .PSCLK(1'b0), // 1-bit input: Phase shift clock input
/dports/security/john/john-1.9.0-jumbo-1/src/ztex/fpga-sha256crypt/ztex_inouttraffic/
H A Dcmt2.v121 .PSCLK(1'b0), .PSEN(1'b0), .PSINCDEC(1'b0), .PSDONE(),
/dports/security/john/john-1.9.0-jumbo-1/src/ztex/fpga-sha512crypt/ztex_inouttraffic/
H A Dcmt2.v121 .PSCLK(1'b0), .PSEN(1'b0), .PSINCDEC(1'b0), .PSDONE(),
/dports/security/john/john-1.9.0-jumbo-1/src/ztex/fpga-md5crypt/ztex_inouttraffic/
H A Dcmt2.v121 .PSCLK(1'b0), .PSEN(1'b0), .PSINCDEC(1'b0), .PSDONE(),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/top/USRP2/
H A Du2_rev3.v244 .PSCLK(0),
414 .PSCLK(1'b0),
463 .PSCLK(1'b0),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b200/coregen/
H A Db200_clk_gen.v130 .PSCLK (1'b0),
H A Db200_clk_gen.xco232 CSET psclk_port=PSCLK
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/
H A Daurora_phy_mmcm.v88 .PSCLK (1'b0),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/
H A Done_gig_eth_pcs_pma_clocking.v147 .PSCLK (1'b0),
/dports/security/john/john-1.9.0-jumbo-1/src/ztex/fpga-bcrypt/ztex_inouttraffic/
H A Dcmt2.v116 .PSCLK(1'b0), .PSEN(1'b0), .PSINCDEC(1'b0), .PSDONE(),
H A Dcmt_common.v71 .PSCLK(1'b0), .PSEN(1'b0), .PSINCDEC(1'b0), .PSDONE(),
/dports/security/john/john-1.9.0-jumbo-1/src/ztex/fpga-descrypt/ztex_inouttraffic/
H A Dcmt2.v117 .PSCLK(1'b0), .PSEN(1'b0), .PSINCDEC(1'b0), .PSDONE(),
H A Dcmt_common.v71 .PSCLK(1'b0), .PSEN(1'b0), .PSINCDEC(1'b0), .PSDONE(),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/
H A Dxwrc_platform_xilinx.vhd310 PSCLK => '0',
409 PSCLK => '0',
469 PSCLK => '0',
537 PSCLK => '0',
633 PSCLK => '0',
694 -- PSCLK => '0',
771 PSCLK => '0',
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/
H A De320_clocking.v167 .PSCLK (1'b0),
/dports/cad/digital/Digital-0.27/src/main/resources/vhdl/
H A DDIG_DCM_SP.tem48 PSCLK => '0', -- 1-bit input: Phase shift clock input
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/models/
H A DDCM_SP.v35 CLKFB, CLKIN, DSSEN, PSCLK, PSEN, PSINCDEC, RST);
61 input PSCLK, PSEN, PSINCDEC, RST; port
364 buf b_psclk (psclk_in, PSCLK);
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/ip/aurora_64b66b_pcs_pma/
H A Daurora_phy_x1.v232 .PSCLK (1'b0),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/
H A Daurora_phy_x1.v232 .PSCLK (1'b0),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b2xxmini/coregen/
H A Db205_clk_gen.xco232 CSET psclk_port=PSCLK
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/coregen/
H A Dpll_100_40_75.xco232 CSET psclk_port=PSCLK
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/
H A DRadioClocking.vhd184 PSCLK => PsClk,
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/top/N2x0/
H A Du2plus.v207 .PSCLK(0),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/
H A DRadioClocking.vhd183 PSCLK => PsClk,
/dports/cad/yosys/yosys-yosys-0.12/techlibs/xilinx/
H A Dcells_xtra.v7521 input PSCLK; port
7558 input PSCLK; port
7634 input PSCLK; port
7705 input PSCLK; port
7920 input PSCLK; port
8064 input PSCLK; port
8321 input PSCLK; port
8568 input PSCLK; port
31301 inout PSCLK; port

12