Home
last modified time | relevance | path

Searched refs:SFP_0_RS0 (Results 1 – 3 of 3) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/mg/
H A Dn3xx.v182 output SFP_0_RS0, port
972 assign SFP_0_RS0 = 1'b0;
975 assign SFP_0_RS0 = 1'b1;
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/
H A Dn3xx.v182 output SFP_0_RS0, port
961 assign SFP_0_RS0 = 1'b0;
964 assign SFP_0_RS0 = 1'b1;
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/
H A Dmb_pins.xdc255 set_property PACKAGE_PIN R26 [get_ports SFP_0_RS0]