Home
last modified time | relevance | path

Searched refs:SFP_1_RS0 (Results 1 – 3 of 3) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/
H A Dmb_pins.xdc283 set_property PACKAGE_PIN T24 [get_ports SFP_1_RS0]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/mg/
H A Dn3xx.v192 output SFP_1_RS0, port
980 assign SFP_1_RS0 = 1'b1;
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/
H A Dn3xx.v192 output SFP_1_RS0, port
969 assign SFP_1_RS0 = 1'b1;