Home
last modified time | relevance | path

Searched refs:SFP_1_TXDISABLE (Results 1 – 3 of 3) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/
H A Dmb_pins.xdc289 set_property PACKAGE_PIN V27 [get_ports SFP_1_TXDISABLE]
290 set_property IOSTANDARD LVCMOS33 [get_ports SFP_1_TXDISABLE]
291 set_property DRIVE 4 [get_ports SFP_1_TXDISABLE]
292 set_property SLEW SLOW [get_ports SFP_1_TXDISABLE]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/mg/
H A Dn3xx.v194 output SFP_1_TXDISABLE, port
1883 .mod_tx_disable(SFP_1_TXDISABLE),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/
H A Dn3xx.v194 output SFP_1_TXDISABLE, port
1874 .mod_tx_disable(SFP_1_TXDISABLE),