Home
last modified time | relevance | path

Searched refs:S_AXI_HP1_ARID (Results 1 – 2 of 2) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/mg/
H A Dn3xx.v413 wire [5:0] S_AXI_HP1_ARID; net
2321 assign {S_AXI_HP1_AWID, S_AXI_HP1_ARID} = 12'd0;
3064 .S_AXI_HP1_arid(S_AXI_HP1_ARID),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/
H A Dn3xx.v400 wire [5:0] S_AXI_HP1_ARID; net
2312 assign {S_AXI_HP1_AWID, S_AXI_HP1_ARID} = 12'd0;
3043 .S_AXI_HP1_arid(S_AXI_HP1_ARID),