Home
last modified time | relevance | path

Searched refs:THE_LP (Results 1 – 2 of 2) sorted by relevance

/dports/cad/verilator/verilator-4.216/test_regress/t/
H A Dt_interface_array.v49 localparam THE_LP = N-3; constant
50 assign ack_out[THE_LP] = a_in[THE_LP];
51 assign foos[THE_LP].a = a_in[THE_LP];
52 assign a_out[THE_LP] = foos[THE_LP].a;
H A Dt_crazy_sel.v27 assign x = foo_loop[bar_inst.THE_LP].foo_inst.y;
42 localparam THE_LP = 2; constant