Home
last modified time | relevance | path

Searched refs:add_triangle (Results 1 – 25 of 109) sorted by relevance

12345

/dports/math/analitza/analitza-21.12.3/analitzaplot/private/utils/
H A Dmarchingcubes.cpp615 add_triangle( casesClassic[i_lut_entry], nt ) ; in process_cube()
632 add_triangle( tiling1[i_config], 1 ) ; in process_cube()
636 add_triangle( tiling2[i_config], 2 ) ; in process_cube()
654 add_triangle( tiling5[i_config], 3 ) ; in process_cube()
679 add_triangle( tiling7_1[i_config], 3 ) ; in process_cube()
712 add_triangle( tiling8[i_config], 2 ) ; in process_cube()
716 add_triangle( tiling9[i_config], 4 ) ; in process_cube()
748 add_triangle( tiling11[i_config], 4 ) ; in process_cube()
795 add_triangle( tiling13_1[i_config], 4 ) ; in process_cube()
981 add_triangle( tiling13_1_[i_config], 4 ) ; in process_cube()
[all …]
/dports/graphics/py-scikit-image/scikit-image-0.19.0/skimage/measure/mc_meta/
H A DMarchingCubes.cpp433 add_triangle( casesClassic[_lut_entry], nt ) ; in process_cube()
448 add_triangle( tiling1[_config], 1 ) ; in process_cube()
452 add_triangle( tiling2[_config], 2 ) ; in process_cube()
457 add_triangle( tiling3_2[_config], 4 ) ; // 3.2 in process_cube()
470 add_triangle( tiling5[_config], 3 ) ; in process_cube()
513 add_triangle( tiling7_4_2[_config], 9 ) ; in process_cube()
515 add_triangle( tiling7_4_1[_config], 5 ) ; in process_cube()
521 add_triangle( tiling8[_config], 2 ) ; in process_cube()
525 add_triangle( tiling9[_config], 4 ) ; in process_cube()
557 add_triangle( tiling11[_config], 4 ) ; in process_cube()
[all …]
/dports/science/sparta/sparta-20Oct2021/src/
H A Dmarching_cubes.cpp146 nsurf = add_triangle(tiling1[config], 1); in invoke()
150 nsurf = add_triangle(tiling2[config], 2); in invoke()
155 nsurf = add_triangle(tiling3_2[config], 4); // 3.2 in invoke()
168 nsurf = add_triangle(tiling5[config], 3); in invoke()
204 nsurf = add_triangle(tiling7_4_2[config], 9); in invoke()
206 nsurf = add_triangle(tiling7_4_1[config], 5); in invoke()
212 nsurf = add_triangle(tiling8[config], 2); in invoke()
216 nsurf = add_triangle(tiling9[config], 4); in invoke()
239 nsurf = add_triangle(tiling11[config], 4); in invoke()
400 nsurf = add_triangle(tiling14[config], 4); in invoke()
[all …]
/dports/science/afni/afni-AFNI_21.3.16/src/SUMA/MarchingCubes/
H A DMarchingCubes.c482 add_triangle(mcb, casesClassic[mcb->lut_entry], nt , -1) ; in process_cube()
496 add_triangle(mcb, tiling1[mcb->config], 1 , -1) ; in process_cube()
500 add_triangle(mcb, tiling2[mcb->config], 2 , -1) ; in process_cube()
518 add_triangle(mcb, tiling5[mcb->config], 3 , -1) ; in process_cube()
523 add_triangle(mcb, tiling6[mcb->config], 5 , -1) ; // 6.2 in process_cube()
541 add_triangle(mcb, tiling7[mcb->config] + 15, 9 , -1) ; in process_cube()
543 add_triangle(mcb, tiling7[mcb->config] , 5 , -1) ; in process_cube()
566 add_triangle(mcb, tiling8[mcb->config], 2 , -1) ; in process_cube()
570 add_triangle(mcb, tiling9[mcb->config], 4 , -1) ; in process_cube()
602 add_triangle(mcb, tiling11[mcb->config], 4 , -1) ; in process_cube()
[all …]
/dports/games/abstreet/abstreet-0.2.9-49-g74aca40c0/cargo-crates/lyon_tessellation-0.15.8/src/
H A Dbasic_shapes.rs83 output.add_triangle(a, b, c); in fill_quad()
84 output.add_triangle(a, c, d); in fill_quad()
113 output.add_triangle(a, b, c); in fill_rectangle()
114 output.add_triangle(a, c, d); in fill_rectangle()
189 output.add_triangle(a, b, c); in stroke_thin_rectangle()
190 output.add_triangle(a, c, d); in stroke_thin_rectangle()
295 output.add_triangle(v[6], v[7], v[0]); in fill_rounded_rectangle()
296 output.add_triangle(v[6], v[0], v[1]); in fill_rounded_rectangle()
297 output.add_triangle(v[6], v[1], v[5]); in fill_rounded_rectangle()
298 output.add_triangle(v[5], v[1], v[2]); in fill_rounded_rectangle()
[all …]
H A Dstroke.rs511 self.output.add_triangle(a, b, c); in tessellate_empty_square_cap()
512 self.output.add_triangle(a, c, d); in tessellate_empty_square_cap()
641 self.output.add_triangle( in edge_to()
650 self.output.add_triangle( in edge_to()
717 self.output.add_triangle(v1, v2, v3); in tessellate_round_cap()
948 Side::Left => self.output.add_triangle(a, b, c), in tessellate_join()
999 self.output.add_triangle(v1, v2, v3); in tessellate_bevel_join()
1054 self.output.add_triangle(v1, v2, v3); in tessellate_round_join()
1095 self.output.add_triangle(v1, v2, v3); in tessellate_miter_clip_join()
1190 output.add_triangle(v1, v2, v3); in tess_round_cap()
[all …]
/dports/audio/lsp-plugins-lv2/lsp-plugins-1.1.31/include/core/3d/
H A DView3D.h168 bool add_triangle(const v_vertex3d_t *vi);
175 …bool add_triangle(const obj_triangle_t *vi, const color3d_t *c0, const color3d_t *c1, const color3…
177 bool add_triangle(const bsp_triangle_t *t);
178 bool add_triangle(const bsp_triangle_t *t, const color3d_t *c);
249 … bool add_triangle(const v_vertex3d_t *v1, const v_vertex3d_t *v2, const v_vertex3d_t *v3);
H A DObject3D.h89 status_t add_triangle(
101 status_t add_triangle(ssize_t *vv, ssize_t *vn);
121 status_t add_triangle(ssize_t *vv);
H A Drt_plan.h97 status_t add_triangle(const point3d_t *pv);
105 status_t add_triangle(const rtm_triangle_t *t);
H A Drt_context.h79 status_t add_triangle(const rtm_triangle_t *t);
80 status_t add_triangle(const rt_triangle_t *t);
/dports/math/fcl/fcl-0.7.0/test/narrowphase/detail/primitive_shape_algorithm/
H A Dtest_half_space_convex.cpp94 auto add_triangle = [&faces](int v0, int v1, int v2) { in MakeTetrahedron() local
103 add_triangle(0, 2, 1); // face on xy plane. in MakeTetrahedron()
104 add_triangle(0, 1, 3); // face on xz plane. in MakeTetrahedron()
105 add_triangle(0, 3, 2); // face on yz plane. in MakeTetrahedron()
106 add_triangle(2, 3, 1); // diagonal face. in MakeTetrahedron()
/dports/games/fs2open/fs2open.github.com-release_21_4_1/code/render/
H A Dbatching.cpp265 batch->add_triangle(&verts[5], &verts[4], &verts[3]); in batching_add_bitmap_internal()
266 batch->add_triangle(&verts[2], &verts[1], &verts[0]); in batching_add_bitmap_internal()
340 batch->add_triangle(&verts[0], &verts[1], &verts[2]); in batching_add_bitmap_rotated_internal()
341 batch->add_triangle(&verts[3], &verts[4], &verts[5]); in batching_add_bitmap_rotated_internal()
405 batch->add_triangle(&v[0], &v[1], &v[2]); in batching_add_polygon_internal()
406 batch->add_triangle(&v[0], &v[2], &v[3]); in batching_add_polygon_internal()
430 batch->add_triangle(&v[0], &v[1], &v[2]); in batching_add_quad_internal()
431 batch->add_triangle(&v[0], &v[2], &v[3]); in batching_add_quad_internal()
455 batch->add_triangle(&v[0], &v[1], &v[2]); in batching_add_tri_internal()
524 batch->add_triangle(&verts[0], &verts[1], &verts[2]); in batching_add_beam_internal()
[all …]
/dports/graphics/blender/blender-2.91.0/intern/cycles/subd/
H A Dsubd_dice.cpp72 void EdgeDice::add_triangle(Patch *patch, int v0, int v1, int v2) in add_triangle() function in EdgeDice
76 mesh->add_triangle(v0 + vert_offset, v1 + vert_offset, v2 + vert_offset, patch->shader, true); in add_triangle()
125 add_triangle(sub.patch, v1, v0, v2); in stitch_triangles()
246 add_triangle(sub.patch, i1, i2, i3); in add_grid()
247 add_triangle(sub.patch, i1, i3, i4); in add_grid()
/dports/audio/lsp-plugins-lv2/lsp-plugins-1.1.31/src/core/3d/
H A DObject3D.cpp73 status_t Object3D::add_triangle( in add_triangle() function in lsp::Object3D
280 status_t Object3D::add_triangle(ssize_t *vv, ssize_t *vn) in add_triangle() function in lsp::Object3D
282 return add_triangle(vv[0], vv[1], vv[2], vn[0], vn[1], vn[2]); in add_triangle()
285 status_t Object3D::add_triangle(ssize_t *vv) in add_triangle() function in lsp::Object3D
287 return add_triangle(vv[0], vv[1], vv[2], -1, -1, -1); in add_triangle()
/dports/audio/lsp-plugins-lv2/lsp-plugins-1.1.31/src/core/files/
H A DModel3DFile.cpp228 … status_t result = pObject->add_triangle(face_id, v1->ip, v2->ip, v3->ip, v1->in, v2->in, v3->in); in add_face()
255 … pObject->add_triangle(face_id, v1->ip, v3->ip, v2->ip, v1->in, v3->in, v2->in) : in add_face()
256 … pObject->add_triangle(face_id, v1->ip, v2->ip, v3->ip, v1->in, v2->in, v3->in); in add_face()
369 ssize_t res = obj->add_triangle(face_id, v0, v1, v2, n0, n1, n2); in load_from_resource()
/dports/graphics/cairo/cairo-1.17.4/src/
H A Dcairo-path-stroke.c65 cairo_status_t (*add_triangle) (void *closure, member
371 return stroker->add_triangle (stroker->closure, stack_points); in _tessellate_fan()
623 return stroker->add_triangle (stroker->closure, points); in _cairo_stroker_join()
1059 stroker->add_triangle (stroker->closure, points); in _cairo_stroker_spline_to()
1063 stroker->add_triangle (stroker->closure, points); in _cairo_stroker_spline_to()
1068 stroker->add_triangle (stroker->closure, points); in _cairo_stroker_spline_to()
1073 stroker->add_triangle (stroker->closure, points); in _cairo_stroker_spline_to()
1367 cairo_status_t (*add_triangle) (void *closure, in _cairo_path_fixed_stroke_to_shaper()
1386 stroker.add_triangle = add_triangle; in _cairo_path_fixed_stroke_to_shaper()
/dports/www/firefox-esr/firefox-91.8.0/gfx/cairo/cairo/src/
H A Dcairo-path-stroke.c65 cairo_status_t (*add_triangle) (void *closure, member
371 return stroker->add_triangle (stroker->closure, stack_points); in _tessellate_fan()
623 return stroker->add_triangle (stroker->closure, points); in _cairo_stroker_join()
1059 stroker->add_triangle (stroker->closure, points); in _cairo_stroker_spline_to()
1063 stroker->add_triangle (stroker->closure, points); in _cairo_stroker_spline_to()
1068 stroker->add_triangle (stroker->closure, points); in _cairo_stroker_spline_to()
1073 stroker->add_triangle (stroker->closure, points); in _cairo_stroker_spline_to()
1367 cairo_status_t (*add_triangle) (void *closure, in _cairo_path_fixed_stroke_to_shaper()
1386 stroker.add_triangle = add_triangle; in _cairo_path_fixed_stroke_to_shaper()
/dports/www/firefox/firefox-99.0/gfx/cairo/cairo/src/
H A Dcairo-path-stroke.c65 cairo_status_t (*add_triangle) (void *closure, member
371 return stroker->add_triangle (stroker->closure, stack_points); in _tessellate_fan()
623 return stroker->add_triangle (stroker->closure, points); in _cairo_stroker_join()
1059 stroker->add_triangle (stroker->closure, points); in _cairo_stroker_spline_to()
1063 stroker->add_triangle (stroker->closure, points); in _cairo_stroker_spline_to()
1068 stroker->add_triangle (stroker->closure, points); in _cairo_stroker_spline_to()
1073 stroker->add_triangle (stroker->closure, points); in _cairo_stroker_spline_to()
1367 cairo_status_t (*add_triangle) (void *closure, in _cairo_path_fixed_stroke_to_shaper()
1386 stroker.add_triangle = add_triangle; in _cairo_path_fixed_stroke_to_shaper()
/dports/mail/thunderbird/thunderbird-91.8.0/gfx/cairo/cairo/src/
H A Dcairo-path-stroke.c65 cairo_status_t (*add_triangle) (void *closure, member
371 return stroker->add_triangle (stroker->closure, stack_points); in _tessellate_fan()
623 return stroker->add_triangle (stroker->closure, points); in _cairo_stroker_join()
1059 stroker->add_triangle (stroker->closure, points); in _cairo_stroker_spline_to()
1063 stroker->add_triangle (stroker->closure, points); in _cairo_stroker_spline_to()
1068 stroker->add_triangle (stroker->closure, points); in _cairo_stroker_spline_to()
1073 stroker->add_triangle (stroker->closure, points); in _cairo_stroker_spline_to()
1367 cairo_status_t (*add_triangle) (void *closure, in _cairo_path_fixed_stroke_to_shaper()
1386 stroker.add_triangle = add_triangle; in _cairo_path_fixed_stroke_to_shaper()
/dports/math/pdal/PDAL-2.3.0/filters/private/
H A Ddelaunator.cpp292 add_triangle(i0, i1, i2, INVALID_INDEX, INVALID_INDEX, INVALID_INDEX); in Delaunator()
335 std::size_t t = add_triangle( in Delaunator()
352 t = add_triangle(next, i, q, hull_tri[i], INVALID_INDEX, hull_tri[next]); in Delaunator()
364 t = add_triangle(q, i, e, INVALID_INDEX, hull_tri[e], hull_tri[q]); in Delaunator()
502 std::size_t Delaunator::add_triangle( in add_triangle() function in delaunator::Delaunator
/dports/math/geogram/geogram-1.7.7/src/lib/exploragram/hexdom/
H A Dquadmesher.h17 void add_triangle(Mesh* m, vec3 P0, vec3 P1, vec3 P2, double value = 0);
/dports/graphics/fracplanet/fracplanet-0.5.1/
H A Dtriangle_mesh.cpp390 add_triangle(Triangle(0,1,2)); in TriangleMeshFlat()
402 add_triangle(Triangle(0,1+i,1+(i+1)%4)); in TriangleMeshFlat()
417 add_triangle(Triangle(0,1+i,1+(i+1)%6)); in TriangleMeshFlat()
474 add_triangle(Triangle(tindices[t][2],tindices[t][1],tindices[t][0])); in TriangleMeshIcosahedron()
/dports/misc/vxl/vxl-3.3.2/core/vgui/examples/
H A Dbasic11_display_3d.cxx39 list3D->add_triangle(2, 0, 0, 0, 2, 0, 0, 0, 2); in main()
/dports/games/abstreet/abstreet-0.2.9-49-g74aca40c0/cargo-crates/lyon-0.15.8/
H A Dtmp.rs7 fn add_triangle(&mut self, a: VertexId, b: VertexId, c: VertexId); in add_triangle() method
/dports/science/py-pymol/pymol-open-source-2.4.0/contrib/uiuc/plugins/include/
H A Dimport_graphics_plugin.h47 int (* add_triangle)(void *, const float *x1, const float *x2, const float *x3); member

12345