Home
last modified time | relevance | path

Searched refs:ddr3_axi_arcache (Results 1 – 8 of 8) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300_core.v165 output [3:0] ddr3_axi_arcache, port
382 .ddr3_axi_arcache ({s01_axi_arcache, s00_axi_arcache}),
507 .M00_AXI_ARCACHE(ddr3_axi_arcache), // output [3 : 0] M00_AXI_ARCACHE
H A Dbus_int.v126 output [4*2-1:0] ddr3_axi_arcache, port
814 .m_axi_arcache (ddr3_axi_arcache),
H A Dx300.v1425 .ddr3_axi_arcache (s_axi_arcache),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/
H A De320.v573 wire [3:0] ddr3_axi_arcache; net
651 .s_axi_arcache (ddr3_axi_arcache),
1769 .ddr3_axi_arcache (ddr3_axi_arcache),
H A De320_core.v133 output wire [3:0] ddr3_axi_arcache, port
808 .M00_AXI_ARCACHE (ddr3_axi_arcache ),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/
H A Dn3xx_core.v151 output [3:0] ddr3_axi_arcache, port
861 .M00_AXI_ARCACHE (ddr3_axi_arcache ),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/mg/
H A Dn3xx.v3223 wire [3:0] ddr3_axi_arcache; net
3312 .s_axi_arcache (ddr3_axi_arcache),
3644 .ddr3_axi_arcache (ddr3_axi_arcache),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/
H A Dn3xx.v3195 wire [3:0] ddr3_axi_arcache; net
3284 .s_axi_arcache (ddr3_axi_arcache),
3567 .ddr3_axi_arcache (ddr3_axi_arcache),