Home
last modified time | relevance | path

Searched refs:i_array_2_state_128 (Results 1 – 14 of 14) sorted by relevance

/dports/cad/verilator/verilator-4.216/test_regress/t/
H A Dt_dpi_arg_input_type.out14 i_array_2_state_128 0
102 i_array_2_state_128 1
190 i_array_2_state_128 2
H A Dt_dpi_arg_output_type.out14 i_array_2_state_128 0
102 i_array_2_state_128 1
190 i_array_2_state_128 2
H A Dt_dpi_arg_inout_type.out14 i_array_2_state_128 0
102 i_array_2_state_128 1
190 i_array_2_state_128 2
H A Dt_dpi_result_type_bad.v82 import "DPI-C" function bit [127:0] i_array_2_state_128();
H A Dt_dpi_arg_input_type.v159 import "DPI-C" function void i_array_2_state_128(input bit [127:0] i);
867 i_array_2_state_128(~128'd0 << i);
H A Dt_dpi_arg_output_type.v159 import "DPI-C" function void i_array_2_state_128(output bit [127:0] o);
939 i_array_2_state_128(x_bit_128); if (x_bit_128 !== ~128'd0<< i) $stop;
H A Dt_dpi_arg_inout_type.v159 import "DPI-C" function void i_array_2_state_128(inout bit [127:0] x);
1025 … x_bit_128 = ~128'd0<< i; i_array_2_state_128(x_bit_128); if (x_bit_128 !== ~128'd0>> i) $stop;
H A Dt_dpi_arg_inout_type__Dpi.out89 extern void i_array_2_state_128(svBitVecVal* x);
H A Dt_dpi_arg_output_type__Dpi.out89 extern void i_array_2_state_128(svBitVecVal* o);
H A Dt_dpi_arg_input_type__Dpi.out89 extern void i_array_2_state_128(const svBitVecVal* i);
H A Dt_dpi_arg_input_type.cpp322 void i_array_2_state_128(CONSTARG svBitVecVal* i) { in i_array_2_state_128() function
H A Dt_dpi_arg_output_type.cpp306 void i_array_2_state_128(svBitVecVal* o) { in i_array_2_state_128() function
H A Dt_dpi_arg_inout_type.cpp378 void i_array_2_state_128(svBitVecVal* x) { in i_array_2_state_128() function
H A Dt_dpi_result_type_bad.out15 82 | import "DPI-C" function bit [127:0] i_array_2_state_128();