Home
last modified time | relevance | path

Searched refs:i_array_2_state_64 (Results 1 – 14 of 14) sorted by relevance

/dports/cad/verilator/verilator-4.216/test_regress/t/
H A Dt_dpi_arg_input_type.out12 i_array_2_state_64 0
100 i_array_2_state_64 1
188 i_array_2_state_64 2
H A Dt_dpi_arg_output_type.out12 i_array_2_state_64 0
100 i_array_2_state_64 1
188 i_array_2_state_64 2
H A Dt_dpi_arg_inout_type.out12 i_array_2_state_64 0
100 i_array_2_state_64 1
188 i_array_2_state_64 2
H A Dt_dpi_result_type_bad.v80 import "DPI-C" function bit [ 63:0] i_array_2_state_64();
H A Dt_dpi_arg_input_type.v157 import "DPI-C" function void i_array_2_state_64 (input bit [ 63:0] i);
865 i_array_2_state_64(~64'd0 << i);
H A Dt_dpi_arg_output_type.v157 import "DPI-C" function void i_array_2_state_64 (output bit [ 63:0] o);
937 i_array_2_state_64(x_bit_64); if (x_bit_64 !== ~64'd0 << i) $stop;
H A Dt_dpi_arg_inout_type.v157 import "DPI-C" function void i_array_2_state_64 (inout bit [ 63:0] x);
1023 … x_bit_64 = ~64'd0 << i; i_array_2_state_64(x_bit_64); if (x_bit_64 !== ~64'd0 >> i) $stop;
H A Dt_dpi_arg_inout_type__Dpi.out92 extern void i_array_2_state_64(svBitVecVal* x);
H A Dt_dpi_arg_output_type__Dpi.out92 extern void i_array_2_state_64(svBitVecVal* o);
H A Dt_dpi_arg_input_type__Dpi.out92 extern void i_array_2_state_64(const svBitVecVal* i);
H A Dt_dpi_arg_input_type.cpp307 void i_array_2_state_64(CONSTARG svBitVecVal* i) { in i_array_2_state_64() function
H A Dt_dpi_arg_output_type.cpp291 void i_array_2_state_64(svBitVecVal* o) { in i_array_2_state_64() function
H A Dt_dpi_arg_inout_type.cpp351 void i_array_2_state_64(svBitVecVal* x) { in i_array_2_state_64() function
H A Dt_dpi_result_type_bad.out7 80 | import "DPI-C" function bit [ 63:0] i_array_2_state_64();