Home
last modified time | relevance | path

Searched refs:i_union_2_state_65 (Results 1 – 14 of 14) sorted by relevance

/dports/cad/verilator/verilator-4.216/test_regress/t/
H A Dt_dpi_arg_input_type.out25 i_union_2_state_65 0
113 i_union_2_state_65 1
201 i_union_2_state_65 2
H A Dt_dpi_arg_output_type.out25 i_union_2_state_65 0
113 i_union_2_state_65 1
201 i_union_2_state_65 2
H A Dt_dpi_arg_inout_type.out25 i_union_2_state_65 0
113 i_union_2_state_65 1
201 i_union_2_state_65 2
H A Dt_dpi_result_type_bad.v99 import "DPI-C" function union_2_state_65 i_union_2_state_65();
H A Dt_dpi_arg_input_type.v174 import "DPI-C" function void i_union_2_state_65 (input union_2_state_65 i);
882 i_union_2_state_65(~65'd0 << i);
H A Dt_dpi_arg_output_type.v174 import "DPI-C" function void i_union_2_state_65 (output union_2_state_65 o);
954 i_union_2_state_65(x_union_2_state_65); if (x_union_2_state_65 !== ~65'd0 << i) $stop;
H A Dt_dpi_arg_inout_type.v174 import "DPI-C" function void i_union_2_state_65 (inout union_2_state_65 x);
1040 …x_union_2_state_65 = ~65'd0 << i; i_union_2_state_65(x_union_2_state_65); if (x_union_2_state_…
H A Dt_dpi_arg_inout_type__Dpi.out147 extern void i_union_2_state_65(svBitVecVal* x);
H A Dt_dpi_arg_output_type__Dpi.out147 extern void i_union_2_state_65(svBitVecVal* o);
H A Dt_dpi_arg_input_type__Dpi.out147 extern void i_union_2_state_65(const svBitVecVal* i);
H A Dt_dpi_arg_input_type.cpp402 void i_union_2_state_65(CONSTARG svBitVecVal* i) { in i_union_2_state_65() function
H A Dt_dpi_arg_output_type.cpp386 void i_union_2_state_65(svBitVecVal* o) { in i_union_2_state_65() function
H A Dt_dpi_arg_inout_type.cpp507 void i_union_2_state_65(svBitVecVal* x) { in i_union_2_state_65() function
H A Dt_dpi_result_type_bad.out59 99 | import "DPI-C" function union_2_state_65 i_union_2_state_65();