Home
last modified time | relevance | path

Searched refs:m_axi_arburst (Results 1 – 25 of 25) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/vivado_ipi/axi_dmac/
H A Dsrc_axi_mm.v76 output [ 1:0] m_axi_arburst, port
153 .burst(m_axi_arburst),
H A Drequest_arb.v90 output [ 1:0] m_axi_arburst, port
656 .m_axi_arburst(m_axi_arburst),
672 assign m_axi_arburst = 'h00;
H A Daxi_dmac.v594 .m_axi_arburst(m_src_axi_arburst),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_replay/
H A Drfnoc_block_replay.v119 output wire [ (NUM_PORTS*2)-1:0] m_axi_arburst, port
465 .m_axi_arburst (m_axi_arburst [ 2*i +: 2]),
H A Drfnoc_block_replay_tb.sv182 wire [ NUM_PORTS*2-1:0] m_axi_arburst; net
234 .s_axi_arburst (m_axi_arburst[i*2 +: 2]),
337 .m_axi_arburst (m_axi_arburst),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_axi_ram_fifo/
H A Drfnoc_block_axi_ram_fifo.v163 …output wire [ NUM_PORTS*2-1:0] m_axi_arburst, // Burst type. The burst type and the size … port
500 .m_axi_arburst (m_axi_arburst[(2*(i+1))-1:2*i]),
H A Daxi_ram_fifo.v142 …output wire [ 1:0] m_axi_arburst, // Burst type. The burst type and the size informat… port
1184 .m_axi_arburst (m_axi_arburst),
H A Drfnoc_block_axi_ram_fifo_tb.sv145 wire [ NUM_PORTS*2-1:0] m_axi_arburst; net
197 .s_axi_arburst (m_axi_arburst[i*2 +: 2]),
305 .m_axi_arburst (m_axi_arburst),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/axi/
H A Daxi_dma_master.v58 …output [1 : 0] m_axi_arburst, // Burst type. The burst type and the size information determine ho… port
356 assign m_axi_arburst = `AXI4_BURST_INCR;
H A Daxi_dma_fifo.v69 …output [1 : 0] m_axi_arburst, // Burst type. The burst type and the size information determine ho… port
1020 .m_axi_arburst(m_axi_arburst), // input [1 : 0] m_axi_arburst
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/
H A Dn300_bist_image_core.v76 output wire [ 8-1:0] m_axi_arburst, port
715 .m_axi_arburst(fifo0_m_axi_arburst),
865 assign m_axi_arburst = fifo0_m_axi_arburst;
H A Dn300_rfnoc_image_core.v76 output wire [ 8-1:0] m_axi_arburst, port
816 .m_axi_arburst(replay0_m_axi_arburst),
973 assign m_axi_arburst = replay0_m_axi_arburst;
H A Dn320_bist_image_core.v94 output wire [ 8-1:0] m_axi_arburst, port
820 .m_axi_arburst(fifo0_m_axi_arburst),
989 assign m_axi_arburst = fifo0_m_axi_arburst;
H A Dn310_bist_image_core.v94 output wire [ 8-1:0] m_axi_arburst, port
958 .m_axi_arburst(fifo0_m_axi_arburst),
1147 assign m_axi_arburst = fifo0_m_axi_arburst;
H A Dn320_rfnoc_image_core.v94 output wire [ 8-1:0] m_axi_arburst, port
1025 .m_axi_arburst(replay0_m_axi_arburst),
1196 assign m_axi_arburst = replay0_m_axi_arburst;
H A Dn310_rfnoc_image_core.v94 output wire [ 8-1:0] m_axi_arburst, port
1301 .m_axi_arburst(replay0_m_axi_arburst),
1532 assign m_axi_arburst = replay0_m_axi_arburst;
H A Dn3xx_core.v1180 ….m_axi_arburst ({dram_axi_arburst [3], dram_axi_arburst [2], dram_axi_arburst [1], dram_axi_arbur…
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/
H A De320_rfnoc_image_core.v76 output wire [ 4-1:0] m_axi_arburst, port
810 .m_axi_arburst(fifo0_m_axi_arburst),
974 assign m_axi_arburst = fifo0_m_axi_arburst;
H A De320_core.v1078 .m_axi_arburst ({dram_axi_arburst [1], dram_axi_arburst [0]}),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/host/include/uhd/rfnoc/core/
H A Dio_signatures.yml173 - name: m_axi_arburst
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx310_rfnoc_image_core.v95 output wire [ 8-1:0] m_axi_arburst, port
1164 .m_axi_arburst(replay0_m_axi_arburst),
1363 assign m_axi_arburst = replay0_m_axi_arburst;
H A Dx300_rfnoc_image_core.v95 output wire [ 8-1:0] m_axi_arburst, port
1164 .m_axi_arburst(replay0_m_axi_arburst),
1363 assign m_axi_arburst = replay0_m_axi_arburst;
H A Dbus_int.v812 .m_axi_arburst (ddr3_axi_arburst),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/sim/dram_fifo/
H A Daxis_dram_fifo_single.sv118 .m_axi_arburst (dma_axi_rd.addr.burst),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/sim/dram_fifo/
H A Daxis_dram_fifo_single.sv118 .m_axi_arburst (dma_axi_rd.addr.burst),