Home
last modified time | relevance | path

Searched refs:qsfp_sda_i (Results 1 – 3 of 3) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/ip/n310_ps_bd/
H A Dn310_ps_bd.tcl731 set qsfp_sda_i [ create_bd_port -dir I qsfp_sda_i ]
1308 connect_bd_net -net qsfp_sda_i [get_bd_ports qsfp_sda_i] [get_bd_pins axi_iic_0/sda_i]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/mg/
H A Dn3xx.v757 wire qsfp_sda_i; net
1309 assign qsfp_sda_i = QSFP_I2C_SDA;
1344 assign qsfp_sda_i = qsfp_sda_t ? 1'b1 : qsfp_sda_o;
3147 .qsfp_sda_i(qsfp_sda_i),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/
H A Dn3xx.v746 wire qsfp_sda_i; net
1299 assign qsfp_sda_i = QSFP_I2C_SDA;
1334 assign qsfp_sda_i = qsfp_sda_t ? 1'b1 : qsfp_sda_o;
3119 .qsfp_sda_i(qsfp_sda_i),