Home
last modified time | relevance | path

Searched refs:ram_2port (Results 1 – 25 of 27) sorted by relevance

12

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/
H A Dram_to_fifo.v37 ram_2port #(.DWIDTH(DWIDTH), .AWIDTH(AWIDTH)) ram_2port instance
H A Dfft_shift.v63 ram_2port #(
70 ram_2port #(
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/control_lib/
H A Ddouble_buffer.v68 ram_2port #(.DWIDTH(36),.AWIDTH(BUF_SIZE)) buffer0
74 ram_2port #(.DWIDTH(36),.AWIDTH(BUF_SIZE)) buffer1
H A Dram_2port.v20 module ram_2port module
H A DMakefile.srcs23 ram_2port.v \
H A Dlongfifo.v55 ram_2port #(.DWIDTH(WIDTH),.AWIDTH(SIZE))
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/fifo/
H A Dbuffer_int2.v169 ram_2port #(.DWIDTH(32),.AWIDTH(BUF_SIZE)) buffer_in // CPU reads here
175 ram_2port #(.DWIDTH(32),.AWIDTH(BUF_SIZE)) buffer_out // CPU writes here
H A Dbuffer_int_tb.v73 ram_2port #(.DWIDTH(32),.AWIDTH(9)) ram_2port instance
H A Dfifo_long.v62 ram_2port #(.DWIDTH(WIDTH),.AWIDTH(SIZE))
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/wishbone/
H A Daxi_stream_to_wb.v117 ram_2port #(.DWIDTH(64), .AWIDTH(AWIDTH-3)) input_stream_bram
138 ram_2port #(.DWIDTH(64), .AWIDTH(AWIDTH-3)) output_stream_bram
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/sim/rfnoc/axi_rate_change/
H A DMakefile23 $(LIB_DIR)/control/ram_2port.v \
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/packet_proc/
H A Dcvita_dest_lookup.v24 ram_2port #(.DWIDTH(DEST_WIDTH), .AWIDTH(8)) dest_lut
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/packet_proc_200/
H A Dcvita_dest_lookup_legacy.v24 ram_2port #(.DWIDTH(DEST_WIDTH), .AWIDTH(8)) dest_lut
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/serdes/
H A Dserdes_tb.v66 ram_2port #(.DWIDTH(32),.AWIDTH(9))
100 ram_2port #(.DWIDTH(32),.AWIDTH(9))
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/control/
H A DMakefile.srcs33 ram_2port.v \
H A Dram_2port.v54 module ram_2port #( module
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/custom/
H A Dpower_trig.v83 ram_2port #(.DWIDTH(32),.AWIDTH(9)) delay_line
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/dsp/
H A Dvariable_delay_line.v130 ram_2port #(
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/gpmc/
H A Dfifo_to_gpmc.v154 ram_2port #(.DWIDTH(18),.AWIDTH(PTR_WIDTH + ADDR_WIDTH)) async_fifo_bram
H A Dgpmc_to_fifo.v159 ram_2port #(.DWIDTH(16),.AWIDTH(PTR_WIDTH + ADDR_WIDTH)) async_fifo_bram
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/fifo/
H A Daxi_fifo_bram.v55 ram_2port #(.DWIDTH(WIDTH),.AWIDTH(SIZE))
H A Daxi_packet_gate.v65 ram_2port #(
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/fifo_200/
H A Daxi_fifo_legacy.v66 ram_2port #(.DWIDTH(WIDTH),.AWIDTH(SIZE))
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/control/map/
H A Dkv_map.v69 ram_2port #(
H A Dcam_bram.v136 ram_2port #(

12