Home
last modified time | relevance | path

Searched refs:s_1 (Results 1 – 25 of 1928) sorted by relevance

12345678910>>...78

/dports/math/libpgmath/flang-d07daf3/tools/flang1/utils/prstab/
H A Dprstab2.c296 i_1 = s_1.basis[k - 1] + s_1.basis[k - 2]; in gentab()
618 s_1.thedpt[j - 1] = s_1.thedpt[i - 1]; in genthd()
1329 if (s_1.basis[iptr] != s_1.basis[*ibasis]) { in merge()
1476 s_1.basis[s_1.indbas - 1] = s_1.nstate; in newbas()
1477 s_1.basis[s_1.indbas] = 0; in newbas()
1478 s_1.basis[s_1.indbas + 1] = -1; in newbas()
1479 s_1.basis[s_1.indbas + 2] = 0; in newbas()
1530 s_1.basis[i] = s_1.nxtred; in newred()
1551 s_1.basis[i] = s_1.nxttrn; in newtrn()
1708 s_1.ifinal = s_1.basis[i - 5]; in pntset()
[all …]
H A Dprstab1.c91 s_1.basis[s_1.indbas - 1] = npr; in addbas()
92 s_1.basis[s_1.indbas] = ndot; in addbas()
93 s_1.basis[s_1.indbas + 1] = nset; in addbas()
95 s_1.indbas += 3; in addbas()
165 j = (s_1.basis[i__ - 1] << 1) + s_1.basis[i__]; in addred()
182 i0000 = s_1.basis[i] + s_1.basis[i - 1]; in addtrn()
243 s_1.lsets = 0; in chncsl()
244 s_1.ncsets = 0; in chncsl()
647 s_1.basis[s_1.indbas + i - 6] = 0; in endbas()
658 j = (s_1.basis[i - 1] << 1) + s_1.basis[i]; in endred()
[all …]
H A Dprstab3.c590 i3 = s_1.basis[s_1.tran[j - 1] - 1] - 1; in tablea()
641 i3 = s_1.basis[k - 1] + s_1.basis[k - 2] - 1; in tablea()
662 i1 = s_1.nstate; in tablea()
724 i3 = ((s_1.basis[k - 2] << 1) + s_1.basis[k - 1] + 1) / 2 - 1; in tablea()
902 iptr = s_1.lencsl; in tablea()
956 lptr = s_1.listcs; in tablea()
1098 i1 = s_1.nstate; in tableu()
1118 i1 = s_1.nstate; in tableu()
1141 i1 = s_1.nstate; in tableu()
1156 hold[hldidx - 1] = s_1.basis[k - 1] + s_1.basis[k - 2]; in tableu()
[all …]
/dports/science/nwchem/nwchem-7b21660b82ebd85ef659f6fba7e1e73433b0bd0a/src/peigs/src/c/
H A Dgdot.F9 double precision s_1, c_1, y_1, t_1, f_1
11 s_1 = 0.d0
15 t_1 = s_1 + y_1
17 if ( y_1 .lt. 0.d0 .and. s_1 .lt. 0.d0 )
19 if ( y_1 .gt. 0.d0 .and. s_1 .gt. 0.d0 )
21 c_1 = ((s_1 - f_1) - ( t_1 - f_1)) + y_1
22 s_1 = t_1
24 s_1 = s_1 + c_1
25 gdot = s_1
/dports/science/nwchem-data/nwchem-7.0.2-release/src/peigs/src/c/
H A Dgdot.F9 double precision s_1, c_1, y_1, t_1, f_1
11 s_1 = 0.d0
15 t_1 = s_1 + y_1
17 if ( y_1 .lt. 0.d0 .and. s_1 .lt. 0.d0 )
19 if ( y_1 .gt. 0.d0 .and. s_1 .gt. 0.d0 )
21 c_1 = ((s_1 - f_1) - ( t_1 - f_1)) + y_1
22 s_1 = t_1
24 s_1 = s_1 + c_1
25 gdot = s_1
/dports/science/libvdwxc/libvdwxc-b1e0dd854310410012d05daf4c6661b49f31b2ab/src/
H A Dvdw_gga.c82 double s_1 = grad_rho / (s_prefactor * rho_pow_4_3); in vdwxc_cx_semilocal_exchange() local
83 s_1 = s_1 < 0.0 ? 0.0 : (s_1 > 1e20 ? 1e20 : s_1); in vdwxc_cx_semilocal_exchange()
84 double s_2 = s_1 * s_1; in vdwxc_cx_semilocal_exchange()
85 double s_3 = s_2 * s_1; in vdwxc_cx_semilocal_exchange()
86 double s_4 = s_3 * s_1; in vdwxc_cx_semilocal_exchange()
87 double s_5 = s_4 * s_1; in vdwxc_cx_semilocal_exchange()
88 double s_6 = s_5 * s_1; in vdwxc_cx_semilocal_exchange()
99 (2.0 * a * s_1 + 4.0 * b * s_3 + 6.0 * c * s_5); in vdwxc_cx_semilocal_exchange()
103 * (2.0 * mu_LM * s_1 * (1. + alp * s_6) in vdwxc_cx_semilocal_exchange()
/dports/databases/percona57-pam-for-mysql/percona-server-5.7.36-39/mysql-test/suite/innodb/r/
H A Dcreate_tablespace_replication.result9 CREATE TABLESPACE s_1 ADD DATAFILE 's_1.ibd' ENGINE InnoDB;
11 CREATE TABLE t1 (a int) ENGINE=innodb TABLESPACE=s_1;
12 CREATE TABLE t2 (a int) ENGINE=innodb TABLESPACE=s_1;
16 test/t1 s_1 161 4 Dynamic 0 General
17 test/t2 s_1 161 4 Dynamic 0 General
31 test/t1 s_1 161 4 Dynamic 0 General
32 test/t2 s_1 161 4 Dynamic 0 General
42 ) /*!50100 TABLESPACE `s_1` */ ENGINE=InnoDB DEFAULT CHARSET=latin1
47 ) /*!50100 TABLESPACE `s_1` */ ENGINE=InnoDB DEFAULT CHARSET=latin1
51 DROP TABLESPACE s_1;
/dports/databases/mysqlwsrep57-server/mysql-wsrep-wsrep_5.7.35-25.27/mysql-test/suite/innodb/r/
H A Dcreate_tablespace_replication.result9 CREATE TABLESPACE s_1 ADD DATAFILE 's_1.ibd' ENGINE InnoDB;
11 CREATE TABLE t1 (a int) ENGINE=innodb TABLESPACE=s_1;
12 CREATE TABLE t2 (a int) ENGINE=innodb TABLESPACE=s_1;
16 test/t1 s_1 161 4 Dynamic 0 General
17 test/t2 s_1 161 4 Dynamic 0 General
31 test/t1 s_1 161 4 Dynamic 0 General
32 test/t2 s_1 161 4 Dynamic 0 General
42 ) /*!50100 TABLESPACE `s_1` */ ENGINE=InnoDB DEFAULT CHARSET=latin1
47 ) /*!50100 TABLESPACE `s_1` */ ENGINE=InnoDB DEFAULT CHARSET=latin1
51 DROP TABLESPACE s_1;
/dports/databases/xtrabackup/percona-xtrabackup-2.4.21/mysql-test/suite/innodb/r/
H A Dcreate_tablespace_replication.result9 CREATE TABLESPACE s_1 ADD DATAFILE 's_1.ibd' ENGINE InnoDB;
11 CREATE TABLE t1 (a int) ENGINE=innodb TABLESPACE=s_1;
12 CREATE TABLE t2 (a int) ENGINE=innodb TABLESPACE=s_1;
16 test/t1 s_1 161 4 Dynamic 0 General
17 test/t2 s_1 161 4 Dynamic 0 General
31 test/t1 s_1 161 4 Dynamic 0 General
32 test/t2 s_1 161 4 Dynamic 0 General
42 ) /*!50100 TABLESPACE `s_1` */ ENGINE=InnoDB DEFAULT CHARSET=latin1
47 ) /*!50100 TABLESPACE `s_1` */ ENGINE=InnoDB DEFAULT CHARSET=latin1
51 DROP TABLESPACE s_1;
/dports/databases/percona57-server/percona-server-5.7.36-39/mysql-test/suite/innodb/r/
H A Dcreate_tablespace_replication.result9 CREATE TABLESPACE s_1 ADD DATAFILE 's_1.ibd' ENGINE InnoDB;
11 CREATE TABLE t1 (a int) ENGINE=innodb TABLESPACE=s_1;
12 CREATE TABLE t2 (a int) ENGINE=innodb TABLESPACE=s_1;
16 test/t1 s_1 161 4 Dynamic 0 General
17 test/t2 s_1 161 4 Dynamic 0 General
31 test/t1 s_1 161 4 Dynamic 0 General
32 test/t2 s_1 161 4 Dynamic 0 General
42 ) /*!50100 TABLESPACE `s_1` */ ENGINE=InnoDB DEFAULT CHARSET=latin1
47 ) /*!50100 TABLESPACE `s_1` */ ENGINE=InnoDB DEFAULT CHARSET=latin1
51 DROP TABLESPACE s_1;
/dports/databases/percona57-client/percona-server-5.7.36-39/mysql-test/suite/innodb/r/
H A Dcreate_tablespace_replication.result9 CREATE TABLESPACE s_1 ADD DATAFILE 's_1.ibd' ENGINE InnoDB;
11 CREATE TABLE t1 (a int) ENGINE=innodb TABLESPACE=s_1;
12 CREATE TABLE t2 (a int) ENGINE=innodb TABLESPACE=s_1;
16 test/t1 s_1 161 4 Dynamic 0 General
17 test/t2 s_1 161 4 Dynamic 0 General
31 test/t1 s_1 161 4 Dynamic 0 General
32 test/t2 s_1 161 4 Dynamic 0 General
42 ) /*!50100 TABLESPACE `s_1` */ ENGINE=InnoDB DEFAULT CHARSET=latin1
47 ) /*!50100 TABLESPACE `s_1` */ ENGINE=InnoDB DEFAULT CHARSET=latin1
51 DROP TABLESPACE s_1;
/dports/databases/mysql57-client/mysql-5.7.36/mysql-test/suite/innodb/r/
H A Dcreate_tablespace_replication.result9 CREATE TABLESPACE s_1 ADD DATAFILE 's_1.ibd' ENGINE InnoDB;
11 CREATE TABLE t1 (a int) ENGINE=innodb TABLESPACE=s_1;
12 CREATE TABLE t2 (a int) ENGINE=innodb TABLESPACE=s_1;
16 test/t1 s_1 161 4 Dynamic 0 General
17 test/t2 s_1 161 4 Dynamic 0 General
31 test/t1 s_1 161 4 Dynamic 0 General
32 test/t2 s_1 161 4 Dynamic 0 General
42 ) /*!50100 TABLESPACE `s_1` */ ENGINE=InnoDB DEFAULT CHARSET=latin1
47 ) /*!50100 TABLESPACE `s_1` */ ENGINE=InnoDB DEFAULT CHARSET=latin1
51 DROP TABLESPACE s_1;
/dports/databases/xtrabackup8/percona-xtrabackup-8.0.14/mysql-test/suite/innodb/r/
H A Dcreate_tablespace_replication.result9 CREATE TABLESPACE s_1 ADD DATAFILE 's_1.ibd' ENGINE InnoDB;
11 CREATE TABLE t1 (a int) ENGINE=innodb TABLESPACE=s_1;
12 CREATE TABLE t2 (a int) ENGINE=innodb TABLESPACE=s_1;
18 test/t1 s_1 161 4 Dynamic 0 General
19 test/t2 s_1 161 4 Dynamic 0 General
35 test/t1 s_1 161 4 Dynamic 0 General
36 test/t2 s_1 161 4 Dynamic 0 General
46 ) /*!50100 TABLESPACE `s_1` */ ENGINE=InnoDB DEFAULT CHARSET=utf8mb4 COLLATE=utf8mb4_0900_ai_ci
51 ) /*!50100 TABLESPACE `s_1` */ ENGINE=InnoDB DEFAULT CHARSET=utf8mb4 COLLATE=utf8mb4_0900_ai_ci
55 DROP TABLESPACE s_1;
/dports/databases/percona57-pam-for-mysql/boost_1_59_0/libs/icl/test/
H A Dtest_icl_interval.hpp25 SizeT s_1 = icl::unit_element<SizeT>::value(); in interval_ctor_4_ordered_types() local
36 BOOST_CHECK_EQUAL(icl::cardinality(IntervalT(t_0, t_1)) >= s_1, true); in interval_ctor_4_ordered_types()
58 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_ordered_types() local
62 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
63 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
64 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
65 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
77 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_bicremental_types() local
81 BOOST_CHECK_EQUAL( icl::cardinality(IntervalT(MK_v(3))), s_1 ); in singelizable_interval_4_bicremental_types()
82 BOOST_CHECK_EQUAL( icl::size(IntervalT(MK_v(4))), s_1 ); in singelizable_interval_4_bicremental_types()
[all …]
/dports/databases/percona57-server/boost_1_59_0/libs/icl/test/
H A Dtest_icl_interval.hpp25 SizeT s_1 = icl::unit_element<SizeT>::value(); in interval_ctor_4_ordered_types() local
36 BOOST_CHECK_EQUAL(icl::cardinality(IntervalT(t_0, t_1)) >= s_1, true); in interval_ctor_4_ordered_types()
58 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_ordered_types() local
62 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
63 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
64 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
65 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
77 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_bicremental_types() local
81 BOOST_CHECK_EQUAL( icl::cardinality(IntervalT(MK_v(3))), s_1 ); in singelizable_interval_4_bicremental_types()
82 BOOST_CHECK_EQUAL( icl::size(IntervalT(MK_v(4))), s_1 ); in singelizable_interval_4_bicremental_types()
[all …]
/dports/databases/xtrabackup/boost_1_59_0/libs/icl/test/
H A Dtest_icl_interval.hpp25 SizeT s_1 = icl::unit_element<SizeT>::value(); in interval_ctor_4_ordered_types() local
36 BOOST_CHECK_EQUAL(icl::cardinality(IntervalT(t_0, t_1)) >= s_1, true); in interval_ctor_4_ordered_types()
58 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_ordered_types() local
62 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
63 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
64 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
65 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
77 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_bicremental_types() local
81 BOOST_CHECK_EQUAL( icl::cardinality(IntervalT(MK_v(3))), s_1 ); in singelizable_interval_4_bicremental_types()
82 BOOST_CHECK_EQUAL( icl::size(IntervalT(MK_v(4))), s_1 ); in singelizable_interval_4_bicremental_types()
[all …]
/dports/databases/percona57-client/boost_1_59_0/libs/icl/test/
H A Dtest_icl_interval.hpp25 SizeT s_1 = icl::unit_element<SizeT>::value(); in interval_ctor_4_ordered_types() local
36 BOOST_CHECK_EQUAL(icl::cardinality(IntervalT(t_0, t_1)) >= s_1, true); in interval_ctor_4_ordered_types()
58 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_ordered_types() local
62 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
63 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
64 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
65 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
77 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_bicremental_types() local
81 BOOST_CHECK_EQUAL( icl::cardinality(IntervalT(MK_v(3))), s_1 ); in singelizable_interval_4_bicremental_types()
82 BOOST_CHECK_EQUAL( icl::size(IntervalT(MK_v(4))), s_1 ); in singelizable_interval_4_bicremental_types()
[all …]
/dports/databases/mysqlwsrep57-server/boost_1_59_0/libs/icl/test/
H A Dtest_icl_interval.hpp25 SizeT s_1 = icl::unit_element<SizeT>::value(); in interval_ctor_4_ordered_types() local
36 BOOST_CHECK_EQUAL(icl::cardinality(IntervalT(t_0, t_1)) >= s_1, true); in interval_ctor_4_ordered_types()
58 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_ordered_types() local
62 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
63 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
64 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
65 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
77 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_bicremental_types() local
81 BOOST_CHECK_EQUAL( icl::cardinality(IntervalT(MK_v(3))), s_1 ); in singelizable_interval_4_bicremental_types()
82 BOOST_CHECK_EQUAL( icl::size(IntervalT(MK_v(4))), s_1 ); in singelizable_interval_4_bicremental_types()
[all …]
/dports/devel/boost-docs/boost_1_72_0/libs/icl/test/
H A Dtest_icl_interval.hpp25 SizeT s_1 = icl::unit_element<SizeT>::value(); in interval_ctor_4_ordered_types() local
36 BOOST_CHECK_EQUAL(icl::cardinality(IntervalT(t_0, t_1)) >= s_1, true); in interval_ctor_4_ordered_types()
58 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_ordered_types() local
62 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
63 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
64 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
65 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
77 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_bicremental_types() local
81 BOOST_CHECK_EQUAL( icl::cardinality(IntervalT(MK_v(3))), s_1 ); in singelizable_interval_4_bicremental_types()
82 BOOST_CHECK_EQUAL( icl::size(IntervalT(MK_v(4))), s_1 ); in singelizable_interval_4_bicremental_types()
/dports/devel/boost-python-libs/boost_1_72_0/libs/icl/test/
H A Dtest_icl_interval.hpp25 SizeT s_1 = icl::unit_element<SizeT>::value(); in interval_ctor_4_ordered_types() local
36 BOOST_CHECK_EQUAL(icl::cardinality(IntervalT(t_0, t_1)) >= s_1, true); in interval_ctor_4_ordered_types()
58 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_ordered_types() local
62 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
63 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
64 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
65 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
77 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_bicremental_types() local
81 BOOST_CHECK_EQUAL( icl::cardinality(IntervalT(MK_v(3))), s_1 ); in singelizable_interval_4_bicremental_types()
82 BOOST_CHECK_EQUAL( icl::size(IntervalT(MK_v(4))), s_1 ); in singelizable_interval_4_bicremental_types()
/dports/devel/boost-libs/boost_1_72_0/libs/icl/test/
H A Dtest_icl_interval.hpp25 SizeT s_1 = icl::unit_element<SizeT>::value(); in interval_ctor_4_ordered_types() local
36 BOOST_CHECK_EQUAL(icl::cardinality(IntervalT(t_0, t_1)) >= s_1, true); in interval_ctor_4_ordered_types()
58 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_ordered_types() local
62 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
63 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
64 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
65 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
77 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_bicremental_types() local
81 BOOST_CHECK_EQUAL( icl::cardinality(IntervalT(MK_v(3))), s_1 ); in singelizable_interval_4_bicremental_types()
82 BOOST_CHECK_EQUAL( icl::size(IntervalT(MK_v(4))), s_1 ); in singelizable_interval_4_bicremental_types()
/dports/devel/hyperscan/boost_1_75_0/libs/icl/test/
H A Dtest_icl_interval.hpp25 SizeT s_1 = icl::unit_element<SizeT>::value(); in interval_ctor_4_ordered_types() local
36 BOOST_CHECK_EQUAL(icl::cardinality(IntervalT(t_0, t_1)) >= s_1, true); in interval_ctor_4_ordered_types()
58 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_ordered_types() local
62 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
63 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_0)), s_1 ); in singelizable_interval_4_ordered_types()
64 BOOST_CHECK_EQUAL( icl::cardinality(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
65 BOOST_CHECK_EQUAL( icl::size(icl::singleton<IntervalT>(t_1)), s_1 ); in singelizable_interval_4_ordered_types()
77 SizeT s_1 = icl::unit_element<SizeT>::value(); in singelizable_interval_4_bicremental_types() local
81 BOOST_CHECK_EQUAL( icl::cardinality(IntervalT(MK_v(3))), s_1 ); in singelizable_interval_4_bicremental_types()
82 BOOST_CHECK_EQUAL( icl::size(IntervalT(MK_v(4))), s_1 ); in singelizable_interval_4_bicremental_types()
/dports/graphics/colmap/colmap-3.6/src/estimators/
H A Dhomography_matrix.cc63 const double s_1 = normed_points1[i](1); in Estimate() local
68 A(i, 1) = -s_1; in Estimate()
71 A(i, 7) = s_1 * d_0; in Estimate()
75 A(j, 4) = -s_1; in Estimate()
78 A(j, 7) = s_1 * d_1; in Estimate()
117 const double s_1 = points1[i](1); in Residuals() local
121 const double pd_0 = H_00 * s_0 + H_01 * s_1 + H_02; in Residuals()
122 const double pd_1 = H_10 * s_0 + H_11 * s_1 + H_12; in Residuals()
123 const double pd_2 = H_20 * s_0 + H_21 * s_1 + H_22; in Residuals()
/dports/biology/stacks/stacks-2.4/src/
H A Dclone_filter.cc243 Seq *s_1 = fh_1->next_seq(); in process_paired_reads_by_sequence() local
245 if (s_1 == NULL || s_2 == NULL) { in process_paired_reads_by_sequence()
253 uint seq_len = strlen(s_1->seq); in process_paired_reads_by_sequence()
263 hash_key = s_1->seq; in process_paired_reads_by_sequence()
272 clone_map[hash_key][s_2->seq].push_back(Pair(s_1->id, s_2->id, s_1->qual, s_2->qual)); in process_paired_reads_by_sequence()
277 delete s_1; in process_paired_reads_by_sequence()
677 Seq *s_1 = fh_1->next_seq(); in process_paired_reads() local
819 delete s_1; in process_paired_reads()
966 Seq *s_1 = fh_1->next_seq(); in process_reads() local
967 if (s_1 == NULL) { in process_reads()
[all …]
/dports/textproc/p5-Unicode-Collate/Unicode-Collate-1.31/gendata/
H A Dgen-hu41 my $s_1 = $set - 1;
44 for my $i (0..$s_1) {
47 if ($i != 0 && $i != $s_1) {
56 my $left = $f. $set[$i & $s_1];
57 my $r1 = $set[$i & $set ? $s_1 : 0];
58 my $r2 = $set[$i & $s_1];
63 for my $i (1..$s_1) {

12345678910>>...78