Home
last modified time | relevance | path

Searched refs:s_axi_arid (Results 1 – 12 of 12) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_axi_ram_fifo/
H A Dsim_axi_ram.sv59 input logic [IDWIDTH-1:0] s_axi_arid, port
354 req.id = s_axi_arid;
H A Drfnoc_block_axi_ram_fifo_tb.sv193 .s_axi_arid (m_axi_arid[i]),
/dports/lang/pocl/pocl-1.8/examples/accel/rtl/platform/
H A Dtta-axislave.vhdl90 s_axi_arid : in STD_LOGIC_VECTOR (axi_idw_g-1 downto 0); port
146 signal transaction_r : std_logic_vector(s_axi_arid'range);
301 transaction_r <= s_axi_arid;
H A Dffaccel_toplevel.vhdl35 s_axi_arid : in std_logic_vector(axi_id_width_g-1 downto 0); port
300 s_axi_arid : in std_logic_vector(axi_id_width_g-1 downto 0); port in ffaccel_toplevel.structural.tta_accel
607 s_axi_arid => s_axi_arid,
H A Dtta-accel.vhdl91 s_axi_arid : in std_logic_vector (axi_id_width_g-1 downto 0); port
326 s_axi_arid => s_axi_arid,
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300.v1168 wire s_axi_arid; net
1255 .s_axi_arid (s_axi_arid),
1419 .ddr3_axi_arid (s_axi_arid),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/sim/dram_fifo/
H A Daxis_dram_fifo_single.sv183 .s_axi_arid (dma_axi_rd.addr.id), // input [0 : 0] s_axi_arid
520 .s_axi_arid (mig_axi_rd.addr.id),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/sim/dram_fifo/
H A Daxis_dram_fifo_single.sv423 .s_axi_arid (mig_axi_rd.addr.id),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/
H A De320.v645 .s_axi_arid (ddr3_axi_arid),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_replay/
H A Drfnoc_block_replay_tb.sv230 .s_axi_arid (m_axi_arid[i]),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/mg/
H A Dn3xx.v3306 .s_axi_arid (ddr3_axi_arid),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/
H A Dn3xx.v3278 .s_axi_arid (ddr3_axi_arid),