Home
last modified time | relevance | path

Searched refs:s_axi_arprot (Results 1 – 7 of 7) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300.v1175 wire [2:0] s_axi_arprot; net
1262 .s_axi_arprot (s_axi_arprot),
1426 .ddr3_axi_arprot (s_axi_arprot),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/vivado_ipi/axi_dmac/
H A Daxi_dmac.v60 input [2:0] s_axi_arprot, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/sim/dram_fifo/
H A Daxis_dram_fifo_single.sv430 .s_axi_arprot (mig_axi_rd.addr.prot),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/sim/dram_fifo/
H A Daxis_dram_fifo_single.sv527 .s_axi_arprot (mig_axi_rd.addr.prot),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/
H A De320.v652 .s_axi_arprot (ddr3_axi_arprot),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/mg/
H A Dn3xx.v3313 .s_axi_arprot (ddr3_axi_arprot),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/
H A Dn3xx.v3285 .s_axi_arprot (ddr3_axi_arprot),