Home
last modified time | relevance | path

Searched refs:FSL_IMX6UL_ROM_SIZE (Results 1 – 2 of 2) sorted by relevance

/qemu/include/hw/arm/
H A Dfsl-imx6ul.h320 FSL_IMX6UL_ROM_SIZE = (96 * KiB), enumerator
/qemu/hw/arm/
H A Dfsl-imx6ul.c690 FSL_IMX6UL_ROM_SIZE, &error_abort); in fsl_imx6ul_realize()