Home
last modified time | relevance | path

Searched refs:octal_digit (Results 1 – 25 of 31) sorted by relevance

12

/dports/sysutils/fluent-bit/fluent-bit-1.8.11/src/
H A Dflb_unescape.c29 static int octal_digit(char c) in octal_digit() function
92 else if (octal_digit(str[0])) { in u8_read_escape_sequence()
96 } while (i < size && octal_digit(str[i]) && dno < 3); in u8_read_escape_sequence()
/dports/graphics/cptutils/cptutils-1.74/src/common/
H A Dutf8.c243 int octal_digit(char c) in octal_digit() function
278 else if (octal_digit(str[0])) { in u8_read_escape_sequence()
282 } while (octal_digit(str[i]) && dno < 3); in u8_read_escape_sequence()
H A Dutf8.h56 int octal_digit(char c);
/dports/net/freeswitch/freeswitch-1.10.3.-release/src/
H A Dswitch_utf8.c259 SWITCH_DECLARE(int) octal_digit(char c) in octal_digit() function
294 else if (octal_digit(str[0])) { in switch_u8_read_escape_sequence()
298 } while (octal_digit(str[i]) && dno < 3); in switch_u8_read_escape_sequence()
/dports/editors/the/THE-3.3RC4/contrib/
H A Dutf8.c249 int octal_digit(char c) in octal_digit() function
284 else if (octal_digit(str[0])) { in u8_read_escape_sequence()
288 } while (octal_digit(str[i]) && dno < 3); in u8_read_escape_sequence()
H A Dutf8.h56 int octal_digit(char c);
/dports/devel/pecl-jsmin/jsmin-3.0.0/
H A Dutf8.c246 int octal_digit(char c) in octal_digit() function
281 else if (octal_digit(str[0])) { in u8_read_escape_sequence()
285 } while (octal_digit(str[i]) && dno < 3); in u8_read_escape_sequence()
H A Dutf8.h53 int octal_digit(char c);
/dports/editors/kakoune/kakoune-2021.11.08/rc/filetype/
H A Dcue.kak55 octal_digit="[0-7]"
60 octal_lit="0o${octal_digit}(_?${octal_digit})*"
/dports/net/freeswitch/freeswitch-1.10.3.-release/src/include/
H A Dswitch_utf8.h97 SWITCH_DECLARE(int) octal_digit(char c);
/dports/audio/deadbeef/deadbeef-0.7.2/
H A Dutf8.h98 int octal_digit(char c);
H A Dutf8.c299 int octal_digit(char c) in octal_digit() function
334 else if (octal_digit(str[0])) { in u8_read_escape_sequence()
338 } while (octal_digit(str[i]) && dno < 3); in u8_read_escape_sequence()
/dports/sysutils/fsearch/fsearch-0.1beta4/src/
H A Dutf8.h98 int octal_digit(char c);
H A Dutf8.c297 int octal_digit(char c) in octal_digit() function
332 else if (octal_digit(str[0])) { in u8_read_escape_sequence()
336 } while (octal_digit(str[i]) && dno < 3); in u8_read_escape_sequence()
/dports/emulators/ppsspp-qt5/ppsspp-1.12.3/Common/Data/Encoding/
H A DUtf8.cpp275 int octal_digit(char c)
310 else if (octal_digit(str[0])) {
314 } while (octal_digit(str[i]) && dno < 3);
/dports/emulators/ppsspp/ppsspp-1.12.3/Common/Data/Encoding/
H A DUtf8.cpp275 int octal_digit(char c) in octal_digit() function
310 else if (octal_digit(str[0])) { in u8_read_escape_sequence()
314 } while (octal_digit(str[i]) && dno < 3); in u8_read_escape_sequence()
/dports/emulators/libretro-ppsspp/ppsspp-1.12.3/Common/Data/Encoding/
H A DUtf8.cpp275 int octal_digit(char c) in octal_digit() function
310 else if (octal_digit(str[0])) { in u8_read_escape_sequence()
314 } while (octal_digit(str[i]) && dno < 3); in u8_read_escape_sequence()
/dports/devel/elixir-combine/combine-0.10.0/lib/combine/parsers/
H A Dtext.ex343 ...> Combine.parse("3157", octal_digit())
345 ...> Combine.parse("3157", octal_digit() |> octal_digit())
348 @spec octal_digit(previous_parser) :: parser
349 …defparser octal_digit(%ParserState{status: :ok, column: col, input: <<c::utf8,rest::binary>>, resu…
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/ashenden/non_compliant/
H A Dch_02_ch_02_01.vhd245 type octal_digit is ('0', '1', '2', '3', '4', '5', '6', '7'); type
250 variable last_digit : octal_digit := '0';
/dports/devel/elixir-combine/combine-0.10.0/
H A DREADME.md148 newline octal_digit
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-ams/ashenden/compliant/scalar-data/
H A Dinline_01a.vhd242 type octal_digit is ('0', '1', '2', '3', '4', '5', '6', '7'); type
247 variable last_digit : octal_digit := '0';
/dports/devel/codeworker/CodeWorker4_5_3/WebSite/repository/
H A DCpp14882.cwp209 [octal_digit]*:bResult
224 octal_digit ::= '0'..'7';
250 octal_escape_sequence ::= '\\' [octal_digit]1..3;
/dports/devel/bazel-buildtools/buildtools-3.2.1/vendor/github.com/google/skylark/doc/
H A Dspec.md314 octal_lit = '0' {octal_digit} .
315 | '0' ('o'|'O') octal_digit {octal_digit} .
327 octal_digit = '0' … '7' .
/dports/devel/bazel-buildtools/buildtools-3.2.1/vendor/go.starlark.net/doc/
H A Dspec.md307 octal_lit = '0' ('o'|'O') octal_digit {octal_digit} .
319 octal_digit = '0' … '7' .
/dports/security/xray-core/Xray-core-1.5.0/vendor/go.starlark.net/doc/
H A Dspec.md307 octal_lit = '0' ('o'|'O') octal_digit {octal_digit} .
319 octal_digit = '0' … '7' .

12