Home
last modified time | relevance | path

Searched refs:timeout (Results 1 – 25 of 173) sorted by relevance

1234567

/qemu/util/
H A Daio-posix.c434 int64_t *timeout) in run_poll_handlers_once() argument
450 *timeout = 0; in run_poll_handlers_once()
553 *timeout = 0; in run_poll_handlers()
560 if (*timeout != -1) { in run_poll_handlers()
561 *timeout -= MIN(*timeout, elapsed_time); in run_poll_handlers()
579 int64_t *timeout) in try_poll_mode() argument
607 int64_t timeout; in aio_poll() local
630 assert(!(timeout && progress)); in aio_poll()
640 use_notify_me = timeout != 0; in aio_poll()
651 timeout = 0; in aio_poll()
[all …]
H A Dasync.c262 timeout = 10000000; in aio_compute_bh_timeout()
271 return timeout; in aio_compute_bh_timeout()
279 int timeout = -1; in aio_compute_timeout() local
281 timeout = aio_compute_bh_timeout(&ctx->bh_list, timeout); in aio_compute_timeout()
282 if (timeout == 0) { in aio_compute_timeout()
287 timeout = aio_compute_bh_timeout(&s->bh_list, timeout); in aio_compute_timeout()
288 if (timeout == 0) { in aio_compute_timeout()
297 return qemu_soonest_timeout(timeout, deadline); in aio_compute_timeout()
302 aio_ctx_prepare(GSource *source, gint *timeout) in aio_ctx_prepare() argument
319 *timeout = 0; in aio_ctx_prepare()
[all …]
H A Dmain-loop.c255 int timeout = 0; in glib_pollfds_fill() local
272 if (timeout < 0) { in glib_pollfds_fill()
275 timeout_ns = (int64_t)timeout * (int64_t)SCALE_MS; in glib_pollfds_fill()
293 static int os_host_main_loop_wait(int64_t timeout) in os_host_main_loop_wait() argument
300 glib_pollfds_fill(&timeout); in os_host_main_loop_wait()
459 static int os_host_main_loop_wait(int64_t timeout)
492 timeout = 0;
564 .timeout = UINT32_MAX, in main_loop_wait()
571 mlpoll.timeout = 0; in main_loop_wait()
579 if (mlpoll.timeout == UINT32_MAX) { in main_loop_wait()
[all …]
H A Dfdmon-epoll.c57 int64_t timeout) in fdmon_epoll_wait() argument
67 if (timeout > 0) { in fdmon_epoll_wait()
68 ret = qemu_poll_ns(&pfd, 1, timeout); in fdmon_epoll_wait()
70 timeout = 0; in fdmon_epoll_wait()
73 if (timeout <= 0 || ret > 0) { in fdmon_epoll_wait()
76 timeout); in fdmon_epoll_wait()
H A Dfdmon-poll.c60 int64_t timeout) in fdmon_poll_wait() argument
76 return ctx->fdmon_ops->wait(ctx, ready_list, timeout); in fdmon_poll_wait()
79 ret = qemu_poll_ns(pollfds, npfd, timeout); in fdmon_poll_wait()
H A Dfdmon-io_uring.c276 int64_t timeout) in fdmon_io_uring_wait() argument
281 if (timeout == 0) { in fdmon_io_uring_wait()
283 } else if (timeout > 0) { in fdmon_io_uring_wait()
284 add_timeout_sqe(ctx, timeout); in fdmon_io_uring_wait()
/qemu/python/qemu/qmp/
H A Dlegacy.py100 self, future: Awaitable[_T], timeout: Optional[float] = None
103 asyncio.wait_for(future, timeout=timeout)
158 def accept(self, timeout: Optional[float] = 15.0) -> QMPMessage:
172 self._sync(self._qmp.accept(), timeout)
242 timeout = None
244 timeout = wait
249 timeout
285 def settimeout(self, timeout: Optional[float]) -> None:
297 self._timeout = timeout
/qemu/pc-bios/s390-ccw/
H A Dmenu.c32 static uint64_t timeout; variable
78 if (timeout) { in read_prompt()
79 time = get_clock() + timeout * TOD_CLOCK_MILLISECOND; in read_prompt()
82 timeout = 0; in read_prompt()
153 } else if (timeout > 0) { in boot_menu_prompt()
155 sclp_print(uitoa(timeout / 1000, tmp, sizeof(tmp))); in boot_menu_prompt()
208 timeout = zipl_timeout * 1000; in menu_get_zipl_boot_index()
261 timeout = boot_menu_timeout; in menu_set_parms()
/qemu/hw/timer/
H A Dimx_gpt.c174 if ((count < reg) && (timeout > reg)) { in imx_gpt_find_limit()
175 timeout = reg; in imx_gpt_find_limit()
178 return timeout; in imx_gpt_find_limit()
184 uint32_t timeout = GPT_TIMER_MAX; in imx_gpt_compute_next_timeout() local
215 timeout = imx_gpt_find_limit(count, s->ocr1, timeout); in imx_gpt_compute_next_timeout()
218 timeout = imx_gpt_find_limit(count, s->ocr2, timeout); in imx_gpt_compute_next_timeout()
221 timeout = imx_gpt_find_limit(count, s->ocr3, timeout); in imx_gpt_compute_next_timeout()
227 if ((s->ir & GPT_IR_OF1IE) && (timeout == s->ocr1)) { in imx_gpt_compute_next_timeout()
230 if ((s->ir & GPT_IR_OF2IE) && (timeout == s->ocr2)) { in imx_gpt_compute_next_timeout()
241 limit = timeout - imx_gpt_update_count(s); in imx_gpt_compute_next_timeout()
[all …]
/qemu/hw/watchdog/
H A Dsbsa_gwdt.c101 uint64_t timeout = 0; in sbsa_gwdt_update_timer() local
110 timeout = s->woru; in sbsa_gwdt_update_timer()
111 timeout <<= 32; in sbsa_gwdt_update_timer()
112 timeout |= s->worl; in sbsa_gwdt_update_timer()
113 timeout = muldiv64(timeout, NANOSECONDS_PER_SECOND, s->freq); in sbsa_gwdt_update_timer()
114 timeout += qemu_clock_get_ns(QEMU_CLOCK_VIRTUAL); in sbsa_gwdt_update_timer()
119 s->wcvu = timeout >> 32; in sbsa_gwdt_update_timer()
120 s->wcvl = timeout; in sbsa_gwdt_update_timer()
122 timer_mod(s->timer, timeout); in sbsa_gwdt_update_timer()
H A Dwdt_i6300esb.c114 int64_t timeout; in OBJECT_DECLARE_SIMPLE_TYPE() local
122 timeout = d->timer1_preload; in OBJECT_DECLARE_SIMPLE_TYPE()
124 timeout = d->timer2_preload; in OBJECT_DECLARE_SIMPLE_TYPE()
127 timeout <<= 15; in OBJECT_DECLARE_SIMPLE_TYPE()
129 timeout <<= 5; in OBJECT_DECLARE_SIMPLE_TYPE()
133 timeout = timeout * 30; /* on a PCI bus, 1 tick is 30 ns*/ in OBJECT_DECLARE_SIMPLE_TYPE()
135 i6300esb_debug("stage %d, timeout %" PRIi64 "\n", d->stage, timeout); in OBJECT_DECLARE_SIMPLE_TYPE()
137 timer_mod(d->timer, qemu_clock_get_ns(QEMU_CLOCK_VIRTUAL) + timeout); in OBJECT_DECLARE_SIMPLE_TYPE()
H A Dwdt_ib700.c65 int64_t timeout; in ib700_write_enable_reg() local
69 timeout = (int64_t) time_map[data & 0xF] * NANOSECONDS_PER_SECOND; in ib700_write_enable_reg()
70 timer_mod(s->timer, qemu_clock_get_ns(QEMU_CLOCK_VIRTUAL) + timeout); in ib700_write_enable_reg()
/qemu/target/hppa/
H A Dsys_helper.c34 uint64_t timeout; in HELPER() local
41 timeout = deposit64(current, 0, 34, (uint64_t)val << 2); in HELPER()
44 if (timeout < current + 1000) { in HELPER()
45 timeout += 1ULL << 34; in HELPER()
48 cpu->env.cr[CR_IT] = timeout; in HELPER()
49 timer_mod(cpu->alarm_timer, timeout); in HELPER()
/qemu/python/qemu/machine/
H A Dmachine.py548 self._subp.wait(timeout=60)
593 timeout, self._subp.pid
595 self._subp.wait(timeout=timeout)
610 self._soft_shutdown(timeout)
622 timeout: Optional[int] = 30) -> None:
647 self._do_shutdown(timeout)
657 def wait(self, timeout: Optional[int] = 30) -> None:
665 self.shutdown(timeout=timeout)
790 timeout: float = 60.0,
799 return self.events_wait([(name, match)], timeout)
[all …]
/qemu/tests/avocado/
H A Dmigration.py29 timeout = 10 variable in MigrationTest
37 timeout=self.timeout,
41 timeout=self.timeout,
H A Dboot_linux.py22 timeout = 480 variable in BootLinuxX8664
69 timeout = 720 variable in BootLinuxAarch64
94 timeout = 360 variable in BootLinuxPPC64
121 timeout = 240 variable in BootLinuxS390X
/qemu/
H A D.patchew.yml37 Test '{{ test }}' timeout, log:
123 timeout: 3600
130 timeout: 3600
137 timeout: 600
145 timeout: 3600
152 timeout: 3600
181 timeout: 3600
210 timeout: 3600
228 timeout: 3600
235 timeout: 3600
/qemu/io/
H A Dchannel-watch.c59 gint *timeout) in qio_channel_fd_source_prepare() argument
61 *timeout = -1; in qio_channel_fd_source_prepare()
102 gint *timeout) in qio_channel_socket_source_prepare() argument
104 *timeout = -1; in qio_channel_socket_source_prepare()
188 gint *timeout) in qio_channel_fd_pair_source_prepare() argument
190 *timeout = -1; in qio_channel_fd_pair_source_prepare()
/qemu/scripts/ci/
H A Dgitlab-pipeline-status83 def wait_on_pipeline_success(timeout, interval, argument
90 if time.time() >= (start + timeout):
93 msg %= timeout
169 args.timeout,
/qemu/python/qemu/utils/
H A Dqemu_ga_client.py76 def sync(self, timeout: Optional[float] = 3) -> None:
78 if not self.ping(timeout):
148 def ping(self, timeout: Optional[float]) -> bool:
149 self.qga.settimeout(timeout)
237 timeout = 3.0 if len(args) == 0 else float(args[0])
238 alive = client.ping(timeout)
/qemu/tests/vm/
H A Dbasevm.py198 timeout = self.socket_timeout
200 timeout *= 8
201 self.console_init(timeout=timeout)
322 def console_init(self, timeout = None): argument
323 if timeout == None:
324 timeout = self.socket_timeout
326 vm.console_socket.settimeout(timeout)
354 except socket.timeout:
456 self._guest.shutdown(timeout=self._shutdown_timeout)
459 self._guest.wait(timeout=self._shutdown_timeout)
[all …]
/qemu/docs/system/
H A Ddevice-url-syntax.rst.inc19 timeout to detect stalled requests and force a reestablishment of the
20 session. The timeout is specified in seconds. The default is 0 which
21 means no timeout. Libiscsi 1.15.0 or greater is required for this
176 ``timeout``
177 Set the timeout in seconds of the CURL connection. This timeout is
180 default timeout of 5 seconds is used.
204 of 64k and a timeout of 10 seconds.
208 …me=datastore1",, "file.sslverify":"off",, "file.readahead":"64k",, "file.timeout":10}' /tmp/test.q…
/qemu/nbd/
H A Dclient-connection.c176 uint64_t timeout = 1; in connect_thread_func() local
214 trace_nbd_connect_thread_sleep(timeout); in connect_thread_func()
217 sleep(timeout); in connect_thread_func()
218 if (timeout < max_timeout) { in connect_thread_func()
219 timeout *= 2; in connect_thread_func()
/qemu/hw/misc/
H A Dnrf51_rng.c64 int64_t timeout = qemu_clock_get_us(QEMU_CLOCK_VIRTUAL); in calc_next_timeout() local
66 timeout += s->period_filtered_us; in calc_next_timeout()
68 timeout += s->period_unfiltered_us; in calc_next_timeout()
71 return timeout; in calc_next_timeout()
/qemu/include/hw/xen/interface/
H A Dsched.h127 uint64_t timeout; member
141 uint32_t timeout; /* timeout */ member

1234567