Lines Matching refs:m_axis_tdata
33 m_axis_tdata : out std_logic_vector(63 downto 0); port
67 m_axis_tdata <= (others => 'X');
72 m_axis_tdata(47 downto 0) <= src_mac_be;
73 m_axis_tdata(63 downto 48) <= mac_addr(39 downto 32) & mac_addr(47 downto 40);
77 m_axis_tdata(31 downto 0) <= mac_addr(7 downto 0) & mac_addr(15 downto 8) &
79 m_axis_tdata(47 downto 32) <= X"0608";
80 m_axis_tdata(63 downto 48) <= X"0100";
84 m_axis_tdata(15 downto 0) <= X"0008"; --PTYPE
85 m_axis_tdata(23 downto 16) <= X"06"; --HLEN
86 m_axis_tdata(31 downto 24) <= X"04"; --PLEN
87 m_axis_tdata(47 downto 32) <= X"0200"; --OPER
88 m_axis_tdata(63 downto 48) <= mac_addr(39 downto 32) & mac_addr(47 downto 40); --SHA
92 m_axis_tdata(31 downto 0) <= mac_addr(7 downto 0) & mac_addr(15 downto 8) &
94 m_axis_tdata(63 downto 32) <= ip_addr(7 downto 0) & ip_addr(15 downto 8) &
99 m_axis_tdata(47 downto 0) <= sender_hw_addr_be; --THA
100 m_axis_tdata(63 downto 48) <= sender_protocol_addr_be(15 downto 0); --TPA
104 m_axis_tdata(15 downto 0) <= sender_protocol_addr_be(31 downto 16); --TPA
105 m_axis_tdata(63 downto 16) <= (others => '0');