Home
last modified time | relevance | path

Searched defs:DFF (Results 1 – 25 of 29) sorted by relevance

12

/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug063/
H A Ddff.vhdl1 entity DFF is entity
/dports/cad/yosys/yosys-yosys-0.12/manual/PRESENTATION_Intro/
H A Dmycells.v17 module DFF(C, D, Q); module
/dports/math/libmesh/libmesh-1.6.2/contrib/metaphysicl/test/
H A Dtestopt_unit.C24 DualNumber<float, float> DFF = 0; in main() local
/dports/science/metaphysicl/MetaPhysicL-1.3.3/test/
H A Dtestopt_unit.C24 DualNumber<float, float> DFF = 0; in main() local
/dports/emulators/dolphin-emu/dolphin-3152428/Source/Core/Core/Boot/
H A DBoot.h67 struct DFF struct
69 std::string dff_path;
/dports/devel/hadoop/hadoop-1.2.1/src/test/org/apache/hadoop/io/
H A DTestMD5Hash.java41 …protected static byte[] DFF = new byte[] {-1, -1, -1, -1, -1, -1, -1, -1, -1, -1, -1, -1, -1, -1, … field in TestMD5Hash
/dports/devel/hadoop2/hadoop-2.7.2-src/hadoop-common-project/hadoop-common/src/test/java/org/apache/hadoop/io/
H A DTestMD5Hash.java44 …protected static byte[] DFF = new byte[] {-1, -1, -1, -1, -1, -1, -1, -1, -1, -1, -1, -1, -1, -1, … field in TestMD5Hash
/dports/cad/yosys/yosys-yosys-0.12/manual/PRESENTATION_ExSyn/
H A Dabc_01_cells.v22 module DFF(C, D, Q); module
/dports/cad/yosys/yosys-yosys-0.12/examples/cmos/
H A Dcmos_cells.v26 module DFF(C, D, Q); module
/dports/cad/gplcver/gplcver-2.12a.src/tests_and_examples/install.tst/
H A Ddffn.v2 module DFF(q, d, clk); module
/dports/devel/nextpnr/nextpnr-48cd407/generic/synth/
H A Dprims.v21 module DFF ( module
/dports/cad/yosys/yosys-yosys-0.12/techlibs/achronix/speedster22i/
H A Dcells_sim.v71 module DFF (output q, module
/dports/math/PDL/PDL-2.019/Lib/GSL/MROOT/
H A DFUNC.c21 void DFF(int* n, double* xval, double* vector){ in DFF() function
/dports/cad/electric/electric-7.00/src/vhdl/
H A Dvhdlals.c174 static CHAR *DFF[] = in vhdl_genals() local
/dports/devel/universal-ctags/ctags-p5.9.20211128.0/Units/review-needed.r/test.vhd.t/
H A Dinput.vhd1481 entity DFF is port ( entity
1505 entity DFF is port ( entity
1529 entity DFF is port ( entity
1557 entity DFF is port ( entity
1581 entity DFF is port ( entity
1605 entity DFF is port ( entity
1631 entity DFF is port ( entity
1679 entity DFF is port ( entity
1703 entity DFF is port ( entity
1787 entity DFF is port ( entity
[all …]
/dports/devel/geany-legacy/geany-1.37.1/tests/ctags/
H A Dtest.vhd1481 entity DFF is port ( entity
1505 entity DFF is port ( entity
1529 entity DFF is port ( entity
1557 entity DFF is port ( entity
1581 entity DFF is port ( entity
1605 entity DFF is port ( entity
1631 entity DFF is port ( entity
1679 entity DFF is port ( entity
1703 entity DFF is port ( entity
1787 entity DFF is port ( entity
[all …]
/dports/devel/geany/geany-1.38/tests/ctags/
H A Dtest.vhd1481 entity DFF is port ( entity
1505 entity DFF is port ( entity
1529 entity DFF is port ( entity
1557 entity DFF is port ( entity
1581 entity DFF is port ( entity
1605 entity DFF is port ( entity
1631 entity DFF is port ( entity
1679 entity DFF is port ( entity
1703 entity DFF is port ( entity
1787 entity DFF is port ( entity
[all …]
/dports/math/lidia/lidia-2.3.0+latte-patches-2014-10-04/src/ec_order/eco_gfp/elkies/
H A DtildeE.cc451 ff_element DF, DJ, DFF, DJJ; in tildeEf() local
/dports/graphics/ospray-studio/ospray_studio-0.9.1/sg/scene/lights/
H A DPhotometric.h80 float DFF; member
/dports/graphics/mypaint/mypaint-2.0.1/lib/fill/
H A Dfill_common.hpp39 #define DFF(a, b) (a) > (b) ? ((a) - (b)) : ((b) - (a)) macro
/dports/math/ogdf/OGDF/include/ogdf/hypergraph/
H A DHypergraph.h281 DFF = 0x0000009, //! Electric circuit: D-Flip-Flop gate (max500nodes). enumerator
/dports/lang/mono/mono-5.10.1.57/mcs/class/referencesource/System.Data/System/Data/
H A DXmlKeywords.cs18 internal const string DFF = "diffgr"; field in System.Data.Keywords
/dports/lang/mono/mono-5.10.1.57/external/corefx/src/System.Data.Common/src/System/Data/
H A DXmlKeywords.cs12 internal const string DFF = "diffgr"; field in System.Data.Keywords
/dports/cad/yosys/yosys-yosys-0.12/techlibs/gowin/
H A Dcells_sim.v170 module DFF (output reg Q, input CLK, D); module
/dports/cad/qflow/qflow-1.4.98/src/
H A Dvesta.c190 #define DFF 0x01 // Flip-flop (shared bit field) macro

12