Home
last modified time | relevance | path

Searched defs:gen_out (Results 1 – 5 of 5) sorted by relevance

/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue1597/
H A Dtest.vhdl33 signal gen_out : std_logic_vector(15 downto 0); signal
/dports/multimedia/v4l_compat/linux-5.13-rc2/fs/dlm/
H A Dmember.c165 struct dlm_slot **slots_out, uint32_t *gen_out) in dlm_slots_assign()
/dports/multimedia/libv4l/linux-5.13-rc2/fs/dlm/
H A Dmember.c165 struct dlm_slot **slots_out, uint32_t *gen_out) in dlm_slots_assign()
/dports/multimedia/v4l-utils/linux-5.13-rc2/fs/dlm/
H A Dmember.c165 struct dlm_slot **slots_out, uint32_t *gen_out) in dlm_slots_assign()
/dports/devel/py-guppy3/guppy3-3.1.2/guppy/gsl/
H A DText.py649 def gen_out(self, width=None): member in TableCell