Home
last modified time | relevance | path

Searched defs:ilin (Results 1 – 25 of 37) sorted by relevance

12

/dports/textproc/heirloom-doctools/heirloom-doctools-160308/tbl/
H A Dtc.c31 int had[128], ilin, icol, k; in choochar() local
H A Dtg.c31 get_text(char *sp, int ilin, int icol, char *fn, char *sz) in get_text()
H A Dt6.c35 int icol, ilin, tsep, k, ik, vforml, il, text; in maktab() local
/dports/devel/plan9port/plan9port-1f098efb7370a0b28306d10681e21883fb1c1507/src/cmd/tbl/
H A Dtc.c8 int had[256], ilin, icol, k; in choochar() local
H A Dtg.c5 gettext(char *sp, int ilin, int icol, char *fn, char *sz) in gettext()
H A Dt6.c12 int icol, ilin, tsep, k, ik, vforml, il, s, text; in maktab() local
/dports/math/scilab/scilab-6.1.1/scilab/modules/optimization/src/fortran/
H A Dicsei.f14 & t0,tf,dti,dtf,ermx,iu,nuc,nuv,ilin,nti,ntf,ny,nea, argument
H A Dicsec2.f15 & t0,tf,dti,dtf,ermx,iu,nuc,nuv,ilin,nti,ntf,ny,nea, argument
H A Dicse1.f17 &t0,tf,dti,dtf,ermx,iu,nuc,nuv,ilin,nti,ntf,ny,nea, argument
H A Dicse.f302 common/icsez/ t0,tf,dti,dtf,ermx,iu,nuc,nuv,ilin,nti,ntf,ny,nea, local
H A Dicse0.f13 subroutine icse0(nu,t0,tf,dti,dtf,ermx,iu,nuc,nuv,ilin,nti,ntf, argument
H A Dicse2.f18 &t0,tf,dti,dtf,ermx,iu,nuc,nuv,ilin,nti,ntf,ny,nea, argument
/dports/math/scilab/scilab-6.1.1/scilab/modules/optimization/demos/icse/
H A Dicsenb.f14 & t0,tf,dti,dtf,ermx,iu,nuc,nuv,ilin,nti,ntf,ny,nea, argument
44 & t0,tf,dti,dtf,ermx,iu,nuc,nuv,ilin,nti,ntf,ny,nea, argument
75 & t0,tf,dti,dtf,ermx,iu,nuc,nuv,ilin,nti,ntf,ny,nea, argument
H A Dicsest.f12 & t0,tf,dti,dtf,ermx,iu,nuc,nuv,ilin,nti,ntf,ny,nea, argument
H A Dicsez0.f10 subroutine icsez0(nu,t0,tf,dti,dtf,ermx,iu,nuc,nuv,ilin,nti,ntf, argument
/dports/science/nwchem-data/nwchem-7.0.2-release/src/lucia/
H A Doptc_routines.F323 subroutine optc_conjgrad(itype,ilin, argument
482 subroutine optc_linesearch(ilsrch,ilin,ivar,iprecnd,ipass, argument
/dports/science/nwchem/nwchem-7b21660b82ebd85ef659f6fba7e1e73433b0bd0a/src/lucia/
H A Doptc_routines.F323 subroutine optc_conjgrad(itype,ilin, argument
482 subroutine optc_linesearch(ilsrch,ilin,ivar,iprecnd,ipass, argument
/dports/math/scilab/scilab-6.1.1/scilab/modules/optimization/includes/
H A Dcommon_structure_optimization.h39 int iu[5], nuc, nuv, ilin, nti, ntf, ny, nea, itmx, nex, member
/dports/math/scilab/scilab-6.1.1/scilab/modules/optimization/sci_gateway/fortran/
H A DEx-optim.f86 & t0,tf,dti,dtf,ermx,iu,nuc,nuv,ilin,nti,ntf,ny,nea, argument
/dports/graphics/mirtk/MIRTK-2.0.0-122-g38210fa/Applications/src/
H A Dregister-points.cc357 const HomogeneousTransformation *ilin = nullptr; in main() local
/dports/math/libpgmath/flang-d07daf3/tools/flang2/utils/ilmtp/
H A Dilmtp.cpp669 int get_ili(const std::string& ilin) in get_ili()
/dports/cad/opencascade/opencascade-7.6.0/src/ChFi3d/
H A DChFi3d_Builder_CnCrn.cxx333 Standard_Integer ilin,jfp; in CurveHermite() local
1872 Standard_Integer ilin; in PerformMoreThreeCorner() local
/dports/cad/calculix-ccx/CalculiX/ccx_2.18/src/
H A Dpremortar.c152 void premortar(ITG *iflagact,ITG *ismallsliding,ITG *nzs,ITG *nzsc2, in premortar()
/dports/science/atompaw/atompaw-4.1.1.0/src/
H A Dinput_dataset_mod.F90228 INTEGER :: ilin,ilog,inrl,iscl,ipnt,ifin,iend,ihfpp,ilcex local
/dports/graphics/mirtk/MIRTK-2.0.0-122-g38210fa/Modules/Registration/src/
H A DGenericRegistrationFilter.cc3384 const HomogeneousTransformation *ilin; in InitializeTransformation() local
3633 const HomogeneousTransformation *ilin = NULL; // ...linear transformation in ApplyInitialGuess() local

12