Home
last modified time | relevance | path

Searched defs:out_enable (Results 1 – 10 of 10) sorted by relevance

/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-ams/ashenden/compliant/guards-and-blocks/
H A Dtri_state_reg.vhd27 clock, out_enable : in bit ); port
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/ashenden/compliant/
H A Dch_16_fg_16_05.vhd34 clock, out_enable : in bit ); port
H A Dch_14_fg_14_01.vhd67 out_enable : in std_logic; port
/dports/multimedia/v4l_compat/linux-5.13-rc2/sound/drivers/mpu401/
H A Dmpu401_uart.c518 int in_enable, out_enable; in snd_mpu401_uart_new() local
/dports/multimedia/libv4l/linux-5.13-rc2/sound/drivers/mpu401/
H A Dmpu401_uart.c518 int in_enable, out_enable; in snd_mpu401_uart_new() local
/dports/multimedia/v4l-utils/linux-5.13-rc2/sound/drivers/mpu401/
H A Dmpu401_uart.c518 int in_enable, out_enable; in snd_mpu401_uart_new() local
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wrc_core/
H A Dwr_core.vhd492 signal out_enable : std_logic_vector(g_aux_clks downto 0); signal
/dports/multimedia/v4l_compat/linux-5.13-rc2/sound/pci/hda/
H A Dpatch_ca0132.c4734 bool out_enable, bool hp_enable) in ca0132_set_out_node_pincfg()
/dports/multimedia/libv4l/linux-5.13-rc2/sound/pci/hda/
H A Dpatch_ca0132.c4734 bool out_enable, bool hp_enable) in ca0132_set_out_node_pincfg()
/dports/multimedia/v4l-utils/linux-5.13-rc2/sound/pci/hda/
H A Dpatch_ca0132.c4734 bool out_enable, bool hp_enable) in ca0132_set_out_node_pincfg()