Searched defs:out_enable (Results 1 – 10 of 10) sorted by relevance
27 clock, out_enable : in bit ); port
34 clock, out_enable : in bit ); port
67 out_enable : in std_logic; port
518 int in_enable, out_enable; in snd_mpu401_uart_new() local
492 signal out_enable : std_logic_vector(g_aux_clks downto 0); signal
4734 bool out_enable, bool hp_enable) in ca0132_set_out_node_pincfg()