Home
last modified time | relevance | path

Searched defs:ram_type (Results 1 – 25 of 101) sorted by relevance

12345

/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1244/
H A Dram_protected_sharedvar.vhd17 type ram_type is protected type
36 type ram_type is protected body type
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1018/
H A Dtest.vhdl14 type ram_type is array (0 to 1) of std_logic_vector(7 downto 0); type
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1039/
H A Dent.vhdl15 type ram_type is array (0 to 7) of std_logic_vector(3 downto 0); type
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug040/
H A Dextend_mask.vhd19 type ram_type is array (0 to 19) of std_logic_vector(20 downto 0); type
H A Dlmask.vhd19 type ram_type is array (0 to 31) of std_logic_vector(31 downto 0); type
H A Dizigzag_index.vhd19 type ram_type is array (0 to 63) of std_logic_vector(5 downto 0); type
H A Dbit_set_mask.vhd19 type ram_type is array (0 to 31) of std_logic_vector(31 downto 0); type
H A Dzigzag_index.vhd19 type ram_type is array (0 to 63) of std_logic_vector(5 downto 0); type
H A Dhuff_make_dhuff_tb_ac_huffcode.vhd22 type ram_type is array (0 to 256) of std_logic_vector(31 downto 0); type
H A Djpegfilebuf.vhd22 type ram_type is array (0 to 5309) of std_logic_vector(7 downto 0); type
H A Dhuff_make_dhuff_tb_dc_huffcode.vhd22 type ram_type is array (0 to 256) of std_logic_vector(31 downto 0); type
H A Doutdata_comp_hpos.vhd22 type ram_type is array (0 to 2) of std_logic_vector(31 downto 0); type
H A Dp_jinfo_comps_info_quant_tbl_no.vhd22 type ram_type is array (0 to 2) of std_logic_vector(1 downto 0); type
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/perf02-long/
H A Dilb_table.vhd19 type ram_type is array (0 to 31) of std_logic_vector(31 downto 0); type
H A Dqq6_code6_table.vhd19 type ram_type is array (0 to 63) of std_logic_vector(31 downto 0); type
H A Dqq4_code4_table.vhd19 type ram_type is array (0 to 15) of std_logic_vector(31 downto 0); type
H A Dquant26bt_neg.vhd19 type ram_type is array (0 to 31) of std_logic_vector(31 downto 0); type
H A Dwh_code_table.vhd19 type ram_type is array (0 to 3) of std_logic_vector(31 downto 0); type
H A Dwl_code_table.vhd19 type ram_type is array (0 to 15) of std_logic_vector(31 downto 0); type
H A Ddecis_levl.vhd19 type ram_type is array (0 to 31) of std_logic_vector(31 downto 0); type
H A Dqq2_code2_table.vhd19 type ram_type is array (0 to 3) of std_logic_vector(31 downto 0); type
H A Dquant26bt_pos.vhd19 type ram_type is array (0 to 31) of std_logic_vector(31 downto 0); type
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue50/idct.d/
H A Dsync_ram.vhd24 type ram_type is array (0 to (2**address'length)-1) of std_logic_vector(datain'range); type
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue50/vector.d/
H A Dsync_ram.vhd24 type ram_type is array (0 to (2**address'length)-1) of std_logic_vector(datain'range); type
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1318/
H A Dram_blk.vhdl23 type ram_type is type

12345