Home
last modified time | relevance | path

Searched defs:s_ctrlport_req_data (Results 1 – 25 of 28) sorted by relevance

12

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/utils/
H A Dctrlport_terminator.v22 input wire [31:0] s_ctrlport_req_data, port
H A Daxis_ctrlport_reg.v59 input wire [31:0] s_ctrlport_req_data, port
H A Dctrlport_gate.v28 input wire [31:0] s_ctrlport_req_data, port
H A Dctrlport_reg_rw.v71 input wire [31:0] s_ctrlport_req_data, port
H A Dctrlport_splitter.v42 input wire [31:0] s_ctrlport_req_data, port
H A Dctrlport_decoder_param.v65 input wire [31:0] s_ctrlport_req_data, port
H A Dctrlport_decoder.v49 input wire [31:0] s_ctrlport_req_data, port
H A Dctrlport_to_settings_bus.v69 input wire [31:0] s_ctrlport_req_data, port
H A Dctrlport_timer.v46 input wire [31:0] s_ctrlport_req_data, port
H A Dctrlport_clk_cross.v28 input wire [31:0] s_ctrlport_req_data, port
H A Dtimekeeper.v31 input wire [31:0] s_ctrlport_req_data, port
H A Dctrlport_combiner.v46 input wire [32*NUM_MASTERS-1:0] s_ctrlport_req_data, port
H A Dnoc_shell_generic_ctrlport_pyld_chdr.v78 input wire [31:0] s_ctrlport_req_data, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/control/
H A Dctrlport_to_regport.v37 input wire [31:0] s_ctrlport_req_data, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_window/
H A Drfnoc_window_core.v33 input wire [31:0] s_ctrlport_req_data, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_axi_ram_fifo/
H A Daxi_ram_fifo_bist_regs.v39 input wire [31:0] s_ctrlport_req_data, port
H A Daxi_ram_fifo_regs.v37 input wire [31:0] s_ctrlport_req_data, port
H A Daxi_ram_fifo_bist.v43 input wire [31:0] s_ctrlport_req_data, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_fir_filter/
H A Drfnoc_fir_filter_core.v77 input wire [31:0] s_ctrlport_req_data, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_siggen/
H A Drfnoc_siggen_core.v23 input wire [31:0] s_ctrlport_req_data, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_radio/
H A Dradio_tx_core.v49 input wire [31:0] s_ctrlport_req_data, port
H A Dradio_rx_core.v49 input wire [31:0] s_ctrlport_req_data, port
H A Dradio_core.v38 input wire [31:0] s_ctrlport_req_data, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_moving_avg/
H A Drfnoc_moving_avg_core.v23 input wire [31:0] s_ctrlport_req_data, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/core/
H A Dctrlport_endpoint.v64 input wire [31:0] s_ctrlport_req_data, port

12