/dports/math/arpack-ng/arpack-ng-3.8.0/EXAMPLES/PYARPACK/ |
H A D | pyarpack.cpp | 275 std::string slv = "sparseCGILU"; in BOOST_PYTHON_MODULE() local 291 std::string slv = "sparseLLT"; in BOOST_PYTHON_MODULE() local 304 std::string slv = "sparseLDLT"; in BOOST_PYTHON_MODULE() local 317 std::string slv = "sparseLU"; in BOOST_PYTHON_MODULE() local 329 std::string slv = "sparseQR"; in BOOST_PYTHON_MODULE() local 341 std::string slv = "denseLLT"; in BOOST_PYTHON_MODULE() local 353 std::string slv = "denseLDLT"; in BOOST_PYTHON_MODULE() local 366 std::string slv = "denseLURR"; in BOOST_PYTHON_MODULE() local 381 std::string slv = "denseQRRR"; in BOOST_PYTHON_MODULE() local 396 std::string slv = "denseLUPP"; in BOOST_PYTHON_MODULE() local [all …]
|
/dports/math/boolector/boolector-3.2.2/src/ |
H A D | btorslvprop.c | 47 BtorPropSolver *slv; in select_constraint() local 134 BtorPropSolver *slv; in move() local 192 clone_prop_solver (Btor *clone, BtorPropSolver *slv, BtorNodeMap *exp_map) in clone_prop_solver() 214 delete_prop_solver (BtorPropSolver *slv) in delete_prop_solver() 243 BtorPropSolver *slv; in sat_prop_solver_aux() local 365 sat_prop_solver (BtorPropSolver *slv) in sat_prop_solver() 399 generate_model_prop_solver (BtorPropSolver *slv, in generate_model_prop_solver() 418 print_stats_prop_solver (BtorPropSolver *slv) in print_stats_prop_solver() 502 print_time_stats_prop_solver (BtorPropSolver *slv) in print_time_stats_prop_solver() 533 print_model_prop_solver (BtorPropSolver *slv, const char *format, FILE *file) in print_model_prop_solver() [all …]
|
H A D | btorslvaigprop.c | 31 clone_aigprop_solver (Btor *clone, BtorAIGPropSolver *slv, BtorNodeMap *exp_map) in clone_aigprop_solver() 51 delete_aigprop_solver (BtorAIGPropSolver *slv) in delete_aigprop_solver() 115 BtorAIGPropSolver *slv; in generate_model_from_aig_model() local 166 generate_model_aigprop_solver (BtorAIGPropSolver *slv, in generate_model_aigprop_solver() 190 sat_aigprop_solver (BtorAIGPropSolver *slv) in sat_aigprop_solver() 290 print_stats_aigprop_solver (BtorAIGPropSolver *slv) in print_stats_aigprop_solver() 311 print_time_stats_aigprop_solver (BtorAIGPropSolver *slv) in print_time_stats_aigprop_solver() 344 print_model (BtorAIGPropSolver *slv, const char *format, FILE *file) in print_model() 354 BtorAIGPropSolver *slv; in btor_new_aigprop_solver() local
|
H A D | btorslvsls.c | 59 BtorSLSSolver *slv; in compute_sls_score_formula() local 93 BtorSLSSolver *slv; in select_candidate_constraint() local 263 BtorSLSSolver *slv; in update_assertion_weights() local 309 BtorSLSSolver *slv; in try_move() local 442 BtorSLSSolver *slv; in select_inc_dec_not_move() local 510 BtorSLSSolver *slv; in select_flip_move() local 575 BtorSLSSolver *slv; in select_flip_range_move() local 656 BtorSLSSolver *slv; in select_flip_segment_move() local 743 BtorSLSSolver *slv; in select_rand_range_move() local 817 BtorSLSSolver *slv; in select_move_aux() local [all …]
|
H A D | btordcr.c | 28 BtorFunSolver *slv; in compute_scores_aux_min_dep() local 110 BtorFunSolver *slv; in compute_scores_aux_min_app() local 231 BtorFunSolver *slv; in btor_dcr_compute_scores() local 306 BtorFunSolver *slv; in btor_dcr_compute_scores_dual_prop() local 387 BtorFunSolver *slv; in btor_dcr_compare_scores() local 437 BtorFunSolver *slv; in btor_dcr_compare_scores_qsort() local
|
H A D | btorslvfun.c | 101 delete_fun_solver (BtorFunSolver *slv) in delete_fun_solver() 629 BtorFunSolver *slv; in collect_applies() local 746 BtorFunSolver *slv; in set_up_dual_and_collect() local 845 BtorFunSolver *slv; in search_initial_applies_dual_prop() local 1438 BtorFunSolver *slv; in add_lemma() local 1554 BtorFunSolver *slv; in push_applies_for_propagation() local 1653 BtorFunSolver *slv; in propagate() local 2026 BtorFunSolver *slv; in add_extensionality_lemmas() local 2246 BtorFunSolver *slv; in check_and_resolve_conflicts() local 2797 BtorFunSolver *slv; in btor_new_fun_solver() local [all …]
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug035/ |
H A D | vectors.vhdl | 324 variable slv : STD_LOGIC_VECTOR(slm'range(1)); variable 362 variable slv : STD_LOGIC_VECTOR((slvv'length * 2) - 1 downto 0); variable 371 variable slv : STD_LOGIC_VECTOR((slvv'length * 4) - 1 downto 0); variable 380 variable slv : STD_LOGIC_VECTOR((slvv'length * 8) - 1 downto 0); variable 389 variable slv : STD_LOGIC_VECTOR((slvv'length * 12) - 1 downto 0); variable 398 variable slv : STD_LOGIC_VECTOR((slvv'length * 16) - 1 downto 0); variable 407 variable slv : STD_LOGIC_VECTOR((slvv'length * 24) - 1 downto 0); variable 416 variable slv : STD_LOGIC_VECTOR((slvv'length * 32) - 1 downto 0); variable 425 variable slv : STD_LOGIC_VECTOR((slvv'length * 64) - 1 downto 0); variable 434 variable slv : STD_LOGIC_VECTOR((slvv'length * 128) - 1 downto 0); variable [all …]
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug037/ |
H A D | vectors.vhdl | 324 variable slv : STD_LOGIC_VECTOR(slm'range(1)); variable 362 variable slv : STD_LOGIC_VECTOR((slvv'length * 2) - 1 downto 0); variable 371 variable slv : STD_LOGIC_VECTOR((slvv'length * 4) - 1 downto 0); variable 380 variable slv : STD_LOGIC_VECTOR((slvv'length * 8) - 1 downto 0); variable 389 variable slv : STD_LOGIC_VECTOR((slvv'length * 12) - 1 downto 0); variable 398 variable slv : STD_LOGIC_VECTOR((slvv'length * 16) - 1 downto 0); variable 407 variable slv : STD_LOGIC_VECTOR((slvv'length * 24) - 1 downto 0); variable 416 variable slv : STD_LOGIC_VECTOR((slvv'length * 32) - 1 downto 0); variable 425 variable slv : STD_LOGIC_VECTOR((slvv'length * 64) - 1 downto 0); variable 434 variable slv : STD_LOGIC_VECTOR((slvv'length * 128) - 1 downto 0); variable [all …]
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue317/PoC/src/common/ |
H A D | vectors.vhdl | 399 variable slv : std_logic_vector(slm'range(1)); variable 425 variable slv : std_logic_vector(High downto Low); variable 437 variable slv : std_logic_vector((slvv'length * 2) - 1 downto 0); variable 446 variable slv : std_logic_vector((slvv'length * 4) - 1 downto 0); variable 455 variable slv : std_logic_vector((slvv'length * 8) - 1 downto 0); variable 464 variable slv : std_logic_vector((slvv'length * 12) - 1 downto 0); variable 473 variable slv : std_logic_vector((slvv'length * 16) - 1 downto 0); variable 482 variable slv : std_logic_vector((slvv'length * 24) - 1 downto 0); variable 491 variable slv : std_logic_vector((slvv'length * 32) - 1 downto 0); variable 500 variable slv : std_logic_vector((slvv'length * 64) - 1 downto 0); variable [all …]
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/ashenden/compliant/ |
H A D | ap_a_ap_a_02.vhd | 44 signal slv : std_logic_vector(7 downto 0); signal 56 signal slv : std_logic_vector(7 downto 0); signal
|
/dports/math/cvc4/CVC4-1.7/examples/api/ |
H A D | combination-new.cpp | 27 void prefixPrintGetValue(Solver& slv, Term t, int level = 0) in prefixPrintGetValue() 39 Solver slv; in main() local
|
H A D | helloworld-new.cpp | 26 Solver slv; in main() local
|
H A D | datatypes-new.cpp | 24 void test(Solver& slv, Sort& consListSort) in test() 138 Solver slv; in main() local
|
H A D | extract-new.cpp | 27 Solver slv; in main() local
|
/dports/cad/PrusaSlicer/PrusaSlicer-version_2.3.3/src/libslic3r/SLA/ |
H A D | SupportTree.cpp | 64 for (const Slices &slv : slices) { len = std::min(len, slv.size()); } in slice() local 73 Slices &slv = *it; in slice() local
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue1262/ |
H A D | pkg_slv.vhdl | 4 package slv is package
|
H A D | ent.vhdl | 7 package slv_pkg is new work.slv generic map(N => WIDTH)); generic
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue547/ |
H A D | ghdl-bug.vhdl | 7 slv : std_logic_vector; record
|
/dports/graphics/glx-utils/demos-5435fc7fbd332e171da9a71e33a9b190e9462cf0/src/demos/ |
H A D | glinfo.c | 29 const GLubyte *slv = glGetString(GL_SHADING_LANGUAGE_VERSION); in main() local
|
/dports/graphics/mesa-demos/mesa-demos-8.4.0/src/demos/ |
H A D | glinfo.c | 29 const GLubyte *slv = glGetString(GL_SHADING_LANGUAGE_VERSION); in main() local
|
/dports/security/hs-cryptol/cryptol-2.11.0/_cabal_deps/sbv-8.12/SBVTestSuite/TestSuite/Puzzles/ |
H A D | U2Bridge.hs | 35 slv n = rearrange `fmap` allSat (isValid `fmap` mapM (const act) [1..(n::Int)]) function
|
/dports/math/boolector/boolector-3.2.2/test/ |
H A D | test_propinv.cpp | 167 BtorSolver *slv = 0; in check_conf_and() local 274 BtorSolver *slv = 0; in check_conf_ult() local 366 BtorSolver *slv = 0; in check_conf_sll() local 563 BtorSolver *slv = 0; in check_conf_srl() local 762 BtorSolver *slv = 0; in check_conf_mul() local 877 BtorSolver *slv = 0; in check_conf_udiv() local 969 BtorSolver *slv = 0; in check_conf_urem() local 1169 BtorSolver *slv = 0; in check_conf_concat() local
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue413/ |
H A D | e.vhdl | 20 signal slv : std_ulogic_vector(127 downto 0); signal
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue559/ |
H A D | dut.vhdl | 15 signal slv : std_logic_vector(1 downto 0); signal
|
/dports/devel/indi/indi-1.9.1/drivers/auxiliary/ |
H A D | STAR2000.cpp | 232 int slv; in TimerHit() local 278 int slv; in TimerHit() local
|