Home
last modified time | relevance | path

Searched defs:strobe_in (Results 1 – 25 of 32) sorted by relevance

12

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp1/sdr_lib/
H A Dstrobe_gen.v29 input strobe_in, port
H A Dcic_decim.v34 input strobe_in,strobe_out; port
H A Dcic_interp.v33 input strobe_in,strobe_out; port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/custom/
H A Dpower_trig_tb.v36 reg strobe_in; register
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/sdr_lib/
H A Dadd2_and_clip_reg.v8 input strobe_in, port
H A Dclip_reg.v31 input strobe_in, port
H A Dhalfband_ideal.v22 input strobe_in, port
H A Dround_sd.v8 input [WIDTH_IN-1:0] in, input strobe_in, port
H A Dhb_tb.v27 wire strobe_in, strobe_out; net
H A Dhb_dec_tb.v28 reg strobe_in ; register
H A Dsmall_hb_dec_tb.v28 reg strobe_in ; register
H A Dhalfband_tb.v28 reg strobe_in ; register
H A Dcic_interp.v29 input strobe_in, port
H A Dcic_decim.v29 input strobe_in, port
H A Dhb_interp_tb.v28 wire strobe_in ; net
H A Dsmall_hb_int_tb.v28 wire strobe_in ; net
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/dsp/
H A Dadd2_and_clip_reg.v14 input strobe_in, port
H A Dclip_reg.v22 input strobe_in, port
H A Dround_sd.v14 input [WIDTH_IN-1:0] in, input strobe_in, port
H A Dcic_interp.v19 input strobe_in, port
H A Dcic_decim.v19 input strobe_in, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/sdr_lib/hb/hbd_tb/
H A Dtest_hbd.v36 reg strobe_in; register
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp1/sdr_lib/hb/hbd_tb/
H A Dtest_hbd.v19 reg strobe_in; register
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/
H A Dcic_interpolate.v17 input strobe_in, port
H A Dcic_decimate.v17 input strobe_in, port

12