Home
last modified time | relevance | path

Searched refs:indv (Results 101 – 125 of 201) sorted by relevance

123456789

/dports/science/quantum-espresso/q-e-qe-6.7.0/GWW/simple/
H A Dcommutator.f9016 USE uspp, ONLY : nkb, indv, nhtol, nhtolm
137 nb = indv (ih, nt)
178 USE uspp, ONLY : nkb, indv, nhtol, nhtolm
287 nb = indv (ih, nt)
H A Depe.f9012 USE uspp, ONLY : nkb, vkb, becsum, nhtol, nhtoj, indv, okvan
H A Dwfc_basis.f9011 USE uspp, ONLY : nkb, vkb, becsum, nhtol, nhtoj, indv, okvan
541 USE uspp, ONLY : nkb, vkb, becsum, nhtol, nhtoj, indv, okvan
/dports/science/quantum-espresso/q-e-qe-6.7.0/test-suite/cp_si/
H A Dbenchmark.out.git.inp=si-vbc-lda-1.in201 1 indv= 1 ang. mom= 0
202 2 indv= 2 ang. mom= 1
203 3 indv= 2 ang. mom= 1
204 4 indv= 2 ang. mom= 1
H A Dbenchmark.out.git.inp=si-vbc-lda-2.in217 1 indv= 1 ang. mom= 0
218 2 indv= 2 ang. mom= 1
219 3 indv= 2 ang. mom= 1
220 4 indv= 2 ang. mom= 1
H A Dbenchmark.out.git.inp=si-vbc-lda-3.in220 1 indv= 1 ang. mom= 0
221 2 indv= 2 ang. mom= 1
222 3 indv= 2 ang. mom= 1
223 4 indv= 2 ang. mom= 1
/dports/biology/vcftools/vcftools-0.1.16/src/cpp/
H A Dbcf_file.cpp226 out << "\t" << meta_data.indv[ui]; in print()
281 header_str += meta_data.indv[ui]; in print_bcf()
H A Dvcf_file.cpp98 out << "\t" << meta_data.indv[ui]; in print()
173 header_str += meta_data.indv[ui]; in print_bcf()
H A Dheader.h53 vector<string> indv; variable
H A Dvariant_file_diff.cpp39 combined_individuals[meta_data.indv[ui]] = make_pair<int,int>((int)ui, -1); in return_indv_union()
45 string indv_id = file2.meta_data.indv[ui]; in return_indv_union()
1449 indv_id = meta_data.indv[indv1]; in output_switch_error()
1451 indv_id = diff_variant_file.meta_data.indv[indv2]; in output_switch_error()
1490 indv_id = meta_data.indv[indv1]; in output_switch_error()
1492 indv_id = diff_variant_file.meta_data.indv[indv2]; in output_switch_error()
/dports/math/fricas/fricas-1.3.7/src/algebra/
H A Dcarten.spad243 int2index(n : Integer, indv : INDEX) : INDEX ==
245 rnk := #indv
249 indv.(rnk - i + 1) := qr.remainder + minix
251 indv
253 index2int(indv : INDEX) : Integer ==
255 for i in 1..#indv repeat
256 ix := indv.i - minix
340 indv : INDEX := new(dim, 0)
342 set!(z, i, permsign!(int2index(i, indv))::R)
/dports/science/quantum-espresso/q-e-qe-6.7.0/PP/src/
H A Dlocal_dos.f9039 USE uspp, ONLY : nkb, vkb, becsum, nhtol, nhtoj, indv
266 (indv(kh,np)==indv(ih,np))) THEN
/dports/science/quantum-espresso/q-e-qe-6.7.0/CPV/src/
H A Dcplib.f901092 use uspp, ONLY : aainit, beta, qq_nt, dvan, nhtol, nhtolm, indv,&
1158 WRITE( stdout,901) iv, indv(iv,is), nhtol(iv,is)
1204 use uspp, ONLY : nlx, lpx, lpl, ap, indv, nhtolm
1223 ivs=indv(iv,is)
1224 jvs=indv(jv,is)
1286 use uspp, ONLY : nlx, lpx, lpl, ap, indv, nhtolm
1307 ivs=indv(iv,is)
1308 jvs=indv(jv,is)
/dports/biology/vcflib/vcflib-1.0.2/src/
H A DsplitUniqStarts.cpp29 struct indv{ struct
/dports/biology/freebayes/freebayes-1.3.5/vcflib-temp/src/
H A DsplitUniqStarts.cpp20 struct indv{ struct
/dports/biology/freebayes/freebayes-1.3.5/vcflib/src/
H A DsplitUniqStarts.cpp20 struct indv{ struct
/dports/devel/p5-Pipeline/Pipeline-3.12/
H A DCHANGES49 + fixed a bug that was passing on indv. tests but
/dports/science/dynare/dynare-4.6.4/matlab/
H A Dplot_shock_decomposition.m126 indv = strcmp(my_varlist,{q2avec.qname}); variable
127 options_.plot_shock_decomp.q2a = q2avec(indv);
132 indv = strcmp(varlist,{q2avec.qname}); variable
133 options_.plot_shock_decomp.q2a = q2avec(indv);
/dports/math/fricas/fricas-1.3.7/pre-generated/src/algebra/
H A DCARTEN.lsp5 ((|n| |Integer|) (|indv| |Vector| (|Integer|))
15 (SEQ (LETT |rnk| (QVSIZE |indv|))
21 (SPADCALL |indv| (+ (- |rnk| |i|) 1)
29 (#2# |indv|)))))))))
34 (SEQ (LETT |i| 1) (LETT #1# (QVSIZE |indv|)) G190
38 (- (SPADCALL |indv| |i| (QREFELT $ 20))
279 ((#1=#:G496 NIL) (|i| NIL) (|indv| (|Vector| (|Integer|))) (|z| ($))
283 (LETT |indv| (MAKEARR1 (QREFELT $ 7) 0))
/dports/science/quantum-espresso/q-e-qe-6.7.0/PW/src/
H A Drealus.f90447 USE uspp, ONLY : indv, nhtolm, ap, qq_at
563 IF ( .not.( nb == indv(ih,nt) .and. &
564 mb == indv(jh,nt) ) ) CYCLE
612 USE uspp, ONLY : indv, nhtolm, ap
741 IF ( .not.( nb == indv(ih,nt) .and. &
742 mb == indv(jh,nt) ) ) CYCLE
795 USE uspp, ONLY : okvan, indv,nhtolm
1059 nb = indv(ih, nt)
H A Dsum_band.f9031 USE uspp, ONLY : nkb, vkb, becsum, ebecsum, nhtol, nhtoj, indv, okvan
1182 USE uspp, ONLY : ijtoh, nhtol, nhtoj, indv
1241 (indv(ih,np)==indv(jh,np)) )
H A Dpaw_init.f90171 USE uspp, ONLY : nhtoj, nhtol, indv, becsum
203 nb = indv(ih,nt)
/dports/math/cgal/CGAL-5.3/include/CGAL/boost/graph/internal/
H A Dgraph_traits_2D_triangulation.h280 int indv = 3 - c->first->index(u) - c->second;
281 if(c->first->vertex(indv) == v)
/dports/games/kmahjongg/kmahjongg-21.12.3/layouts/
H A Dmesh.desktop52 Description[da]=En indvævet struktur lavet af Mahjongg-fliser
/dports/math/reduce/Reduce-svn5758-src/packages/int/
H A Disolve.red413 symbolic procedure checkcoeffts(cl,indv);
419 res:=evaluatecoeffts(numr car cl,indv);
421 else return checkcoeffts(cdr cl,indv)

123456789