Home
last modified time | relevance | path

Searched refs:ram_type (Results 51 – 75 of 110) sorted by relevance

12345

/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug040/
H A Dp_jinfo_comps_info_quant_tbl_no.vhd22 type ram_type is array (0 to 2) of std_logic_vector(1 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Dp_jinfo_dc_dhuff_tbl_valptr.vhd22 type ram_type is array (0 to 127) of std_logic_vector(8 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Dp_jinfo_quant_tbl_quantval.vhd22 type ram_type is array (0 to 255) of std_logic_vector(31 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Drgb_buf.vhd22 type ram_type is array (0 to 1023) of std_logic_vector(7 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Dp_jinfo_ac_dhuff_tbl_maxcode.vhd22 type ram_type is array (0 to 127) of std_logic_vector(31 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Dp_jinfo_dc_dhuff_tbl_maxcode.vhd22 type ram_type is array (0 to 127) of std_logic_vector(31 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Dquantbuff.vhd22 type ram_type is array (0 to 63) of std_logic_vector(31 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Dhuff_make_dhuff_tb_ac_huffsize.vhd22 type ram_type is array (0 to 256) of std_logic_vector(31 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Dhuff_make_dhuff_tb_dc_huffsize.vhd22 type ram_type is array (0 to 256) of std_logic_vector(31 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Dhuffbuff.vhd22 type ram_type is array (0 to 191) of std_logic_vector(31 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Dp_jinfo_ac_dhuff_tbl_mincode.vhd22 type ram_type is array (0 to 127) of std_logic_vector(8 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Dp_jinfo_ac_dhuff_tbl_valptr.vhd22 type ram_type is array (0 to 127) of std_logic_vector(8 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Dp_jinfo_comps_info_dc_tbl_no.vhd22 type ram_type is array (0 to 2) of std_logic; type
23 signal ram : ram_type := (others => '0');
H A Dp_jinfo_comps_info_h_samp_factor.vhd22 type ram_type is array (0 to 2) of std_logic_vector(7 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/perf02-long/
H A Dresult.vhd22 type ram_type is array (0 to 127) of std_logic_vector(31 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Dtest_data.vhd24 type ram_type is array (0 to 127) of std_logic_vector(31 downto 0); type
25 signal ram : ram_type := (others => (others => '0'));
H A Dcompressed.vhd22 type ram_type is array (0 to 127) of std_logic_vector(31 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue50/idct.d/
H A Doutput_split0.vhd22 type ram_type is array (0 to 7) of std_logic_vector(7 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Doutput_split1.vhd22 type ram_type is array (0 to 7) of std_logic_vector(7 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Doutput_split3.vhd22 type ram_type is array (0 to 7) of std_logic_vector(7 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Doutput_split2.vhd22 type ram_type is array (0 to 7) of std_logic_vector(7 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Doutput_split5.vhd22 type ram_type is array (0 to 7) of std_logic_vector(7 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Doutput_split4.vhd22 type ram_type is array (0 to 7) of std_logic_vector(7 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Doutput_split6.vhd22 type ram_type is array (0 to 7) of std_logic_vector(7 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));
H A Doutput_split7.vhd22 type ram_type is array (0 to 7) of std_logic_vector(7 downto 0); type
23 signal ram : ram_type := (others => (others => '0'));

12345