Home
last modified time | relevance | path

Searched refs:set_property (Results 26 – 50 of 8700) sorted by relevance

12345678910>>...348

/dports/audio/faust/faust-2.37.3/tools/faust2fpga/
H A Dproject_v4.tcl125 set_property -name "dsa.emu_dir" -value "emu" -objects $obj
405 set_property -dict [ list \
417 set_property -dict [ list \
439 set_property -dict [ list \
605 set_property set_report_strategy_name 1 $obj
607 set_property set_report_strategy_name 0 $obj
630 set_property set_report_strategy_name 1 $obj
632 set_property set_report_strategy_name 0 $obj
639 set_property -name "is_enabled" -value "0" -objects $obj
657 set_property -name "is_enabled" -value "0" -objects $obj
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/net/data/cert_issuer_source_aia_unittest/
H A Dgenerate-certs.py46 section.set_property('authorityInfoAccess', None)
51 section.set_property('caIssuers;URI.1', 'http://url-for-aia2/I2.foo')
56 section.set_property('caIssuers;URI.1', 'http://url-for-aia2/I2.foo')
57 section.set_property('caIssuers;URI.2', 'http://url-for-aia3/I3.foo')
62 section.set_property('caIssuers;URI.1', 'http://url-for-aia2/I2.foo')
63 section.set_property('caIssuers;URI.2', 'http://url-for-aia3/I3.foo')
64 section.set_property('caIssuers;URI.3', 'http://url-for-aia4/I4.foo')
71 section.set_property('caIssuers;URI.0', 'file:///dev/null')
76 section.set_property('caIssuers;URI.0', 'foobar')
81 section.set_property('caIssuers;URI.0', 'file:///dev/null')
[all …]
/dports/textproc/ibus/ibus-1.5.24/setup/
H A Denginecombobox.py52 renderer.set_property("xalign", 0)
53 renderer.set_property("xpad", 2)
58 renderer.set_property("xalign", 0)
59 renderer.set_property("xpad", 2)
112 renderer.set_property("visible", False)
115 renderer.set_property("visible", False)
118 renderer.set_property("visible", False)
121 renderer.set_property("visible", True)
131 renderer.set_property("text", engine)
135 renderer.set_property("text", engine)
[all …]
/dports/devel/boost-docs/boost_1_72_0/boost/gil/extension/io/tiff/detail/
H A Dwriter_backend.hpp71 this->_io_dev.template set_property< tiff_image_width >( width ); in write_header()
72 this->_io_dev.template set_property< tiff_image_height >( height ); in write_header()
79 this->_io_dev.template set_property<tiff_samples_per_pixel>( samples_per_pixel ); in write_header()
84 this->_io_dev.template set_property<tiff_extra_samples>( extra_samples ); in write_header()
91 this->_io_dev.template set_property<tiff_bits_per_sample>( bits_per_sample ); in write_header()
95 this->_io_dev.template set_property<tiff_sample_format>( sampl_format ); in write_header()
101 this->_io_dev.template set_property<tiff_compression>( this->_info._compression ); in write_header()
104 this->_io_dev.template set_property<tiff_orientation>( this->_info._orientation ); in write_header()
111 this->_io_dev.template set_property<tiff_x_resolution>( this->_info._x_resolution ); in write_header()
112 this->_io_dev.template set_property<tiff_y_resolution>( this->_info._y_resolution ); in write_header()
[all …]
/dports/devel/hyperscan/boost_1_75_0/boost/gil/extension/io/tiff/detail/
H A Dwriter_backend.hpp71 this->_io_dev.template set_property< tiff_image_width >( width ); in write_header()
72 this->_io_dev.template set_property< tiff_image_height >( height ); in write_header()
79 this->_io_dev.template set_property<tiff_samples_per_pixel>( samples_per_pixel ); in write_header()
84 this->_io_dev.template set_property<tiff_extra_samples>( extra_samples ); in write_header()
91 this->_io_dev.template set_property<tiff_bits_per_sample>( bits_per_sample ); in write_header()
95 this->_io_dev.template set_property<tiff_sample_format>( sampl_format ); in write_header()
101 this->_io_dev.template set_property<tiff_compression>( this->_info._compression ); in write_header()
104 this->_io_dev.template set_property<tiff_orientation>( this->_info._orientation ); in write_header()
111 this->_io_dev.template set_property<tiff_x_resolution>( this->_info._x_resolution ); in write_header()
112 this->_io_dev.template set_property<tiff_y_resolution>( this->_info._y_resolution ); in write_header()
[all …]
/dports/devel/boost-python-libs/boost_1_72_0/boost/gil/extension/io/tiff/detail/
H A Dwriter_backend.hpp71 this->_io_dev.template set_property< tiff_image_width >( width ); in write_header()
72 this->_io_dev.template set_property< tiff_image_height >( height ); in write_header()
79 this->_io_dev.template set_property<tiff_samples_per_pixel>( samples_per_pixel ); in write_header()
84 this->_io_dev.template set_property<tiff_extra_samples>( extra_samples ); in write_header()
91 this->_io_dev.template set_property<tiff_bits_per_sample>( bits_per_sample ); in write_header()
95 this->_io_dev.template set_property<tiff_sample_format>( sampl_format ); in write_header()
101 this->_io_dev.template set_property<tiff_compression>( this->_info._compression ); in write_header()
104 this->_io_dev.template set_property<tiff_orientation>( this->_info._orientation ); in write_header()
111 this->_io_dev.template set_property<tiff_x_resolution>( this->_info._x_resolution ); in write_header()
112 this->_io_dev.template set_property<tiff_y_resolution>( this->_info._y_resolution ); in write_header()
[all …]
/dports/math/stanmath/math-4.2.0/lib/boost_1.75.0/boost/gil/extension/io/tiff/detail/
H A Dwriter_backend.hpp71 this->_io_dev.template set_property< tiff_image_width >( width ); in write_header()
72 this->_io_dev.template set_property< tiff_image_height >( height ); in write_header()
79 this->_io_dev.template set_property<tiff_samples_per_pixel>( samples_per_pixel ); in write_header()
84 this->_io_dev.template set_property<tiff_extra_samples>( extra_samples ); in write_header()
91 this->_io_dev.template set_property<tiff_bits_per_sample>( bits_per_sample ); in write_header()
95 this->_io_dev.template set_property<tiff_sample_format>( sampl_format ); in write_header()
101 this->_io_dev.template set_property<tiff_compression>( this->_info._compression ); in write_header()
104 this->_io_dev.template set_property<tiff_orientation>( this->_info._orientation ); in write_header()
111 this->_io_dev.template set_property<tiff_x_resolution>( this->_info._x_resolution ); in write_header()
112 this->_io_dev.template set_property<tiff_y_resolution>( this->_info._y_resolution ); in write_header()
[all …]
/dports/science/py-scipy/scipy-1.7.1/scipy/_lib/boost/boost/gil/extension/io/tiff/detail/
H A Dwriter_backend.hpp71 this->_io_dev.template set_property< tiff_image_width >( width ); in write_header()
72 this->_io_dev.template set_property< tiff_image_height >( height ); in write_header()
79 this->_io_dev.template set_property<tiff_samples_per_pixel>( samples_per_pixel ); in write_header()
84 this->_io_dev.template set_property<tiff_extra_samples>( extra_samples ); in write_header()
91 this->_io_dev.template set_property<tiff_bits_per_sample>( bits_per_sample ); in write_header()
95 this->_io_dev.template set_property<tiff_sample_format>( sampl_format ); in write_header()
101 this->_io_dev.template set_property<tiff_compression>( this->_info._compression ); in write_header()
104 this->_io_dev.template set_property<tiff_orientation>( this->_info._orientation ); in write_header()
111 this->_io_dev.template set_property<tiff_x_resolution>( this->_info._x_resolution ); in write_header()
112 this->_io_dev.template set_property<tiff_y_resolution>( this->_info._y_resolution ); in write_header()
[all …]
/dports/devel/boost-libs/boost_1_72_0/boost/gil/extension/io/tiff/detail/
H A Dwriter_backend.hpp71 this->_io_dev.template set_property< tiff_image_width >( width ); in write_header()
72 this->_io_dev.template set_property< tiff_image_height >( height ); in write_header()
79 this->_io_dev.template set_property<tiff_samples_per_pixel>( samples_per_pixel ); in write_header()
84 this->_io_dev.template set_property<tiff_extra_samples>( extra_samples ); in write_header()
91 this->_io_dev.template set_property<tiff_bits_per_sample>( bits_per_sample ); in write_header()
95 this->_io_dev.template set_property<tiff_sample_format>( sampl_format ); in write_header()
101 this->_io_dev.template set_property<tiff_compression>( this->_info._compression ); in write_header()
104 this->_io_dev.template set_property<tiff_orientation>( this->_info._orientation ); in write_header()
111 this->_io_dev.template set_property<tiff_x_resolution>( this->_info._x_resolution ); in write_header()
112 this->_io_dev.template set_property<tiff_y_resolution>( this->_info._y_resolution ); in write_header()
[all …]
/dports/math/py-pystan/pystan-2.19.0.0/pystan/stan/lib/stan_math/lib/boost_1.69.0/boost/gil/extension/io/tiff/detail/
H A Dwriter_backend.hpp70 this->_io_dev.template set_property< tiff_image_width >( width );
71 this->_io_dev.template set_property< tiff_image_height >( height );
78 this->_io_dev.template set_property<tiff_samples_per_pixel>( samples_per_pixel );
82 this->_io_dev.template set_property<tiff_extra_samples>( extra_samples );
88 this->_io_dev.template set_property<tiff_bits_per_sample>( bits_per_sample );
92 this->_io_dev.template set_property<tiff_sample_format>( sampl_format );
98 this->_io_dev.template set_property<tiff_compression>( this->_info._compression );
101 this->_io_dev.template set_property<tiff_orientation>( this->_info._orientation );
108 this->_io_dev.template set_property<tiff_x_resolution>( this->_info._x_resolution );
109 this->_io_dev.template set_property<tiff_y_resolution>( this->_info._y_resolution );
[all …]
/dports/devel/cmake-gui/cmake-3.22.1/Tests/RunCMake/GenEx-TARGET_FILE/
H A DTARGET_FILE_BASE_NAME.cmake35 set_property (TARGET exec2 PROPERTY OUTPUT_NAME exec2_custom)
37 set_property (TARGET shared2 PROPERTY OUTPUT_NAME shared2_custom)
39 set_property (TARGET static2 PROPERTY OUTPUT_NAME static2_custom)
57 set_property (TARGET exec3 PROPERTY RUNTIME_OUTPUT_NAME exec3_runtime)
58 set_property (TARGET exec3 PROPERTY LIBRARY_OUTPUT_NAME exec3_library)
60 set_property (TARGET exec3 PROPERTY PDB_NAME exec3_pdb)
65 set_property (TARGET shared3 PROPERTY PDB_NAME shared3_pdb)
70 set_property (TARGET static3 PROPERTY PDB_NAME static3_pdb)
103 set_property (TARGET exec4 PROPERTY PDB_NAME exec4_pdb)
109 set_property (TARGET shared4 PROPERTY PDB_NAME shared4_pdb)
[all …]
H A DTARGET_FILE_BASE_NAME-imported-target.cmake29 set_property (TARGET exec2 PROPERTY OUTPUT_NAME exec2_custom)
31 set_property (TARGET shared2 PROPERTY OUTPUT_NAME shared2_custom)
33 set_property (TARGET static2 PROPERTY OUTPUT_NAME static2_custom)
46 set_property (TARGET exec3 PROPERTY RUNTIME_OUTPUT_NAME exec3_runtime)
47 set_property (TARGET exec3 PROPERTY LIBRARY_OUTPUT_NAME exec3_library)
48 set_property (TARGET exec3 PROPERTY ARCHIVE_OUTPUT_NAME exec3_archive)
80 set_property (TARGET exec4 PROPERTY RUNTIME_OUTPUT_NAME exec4_runtime)
81 set_property (TARGET exec4 PROPERTY LIBRARY_OUTPUT_NAME exec4_library)
82 set_property (TARGET exec4 PROPERTY ARCHIVE_OUTPUT_NAME exec4_archive)
83 set_property (TARGET exec4 PROPERTY ${FIRST_CONFIG}_POSTFIX _postfix)
[all …]
/dports/devel/cmake/cmake-3.22.1/Tests/RunCMake/GenEx-TARGET_FILE/
H A DTARGET_FILE_BASE_NAME.cmake35 set_property (TARGET exec2 PROPERTY OUTPUT_NAME exec2_custom)
37 set_property (TARGET shared2 PROPERTY OUTPUT_NAME shared2_custom)
39 set_property (TARGET static2 PROPERTY OUTPUT_NAME static2_custom)
57 set_property (TARGET exec3 PROPERTY RUNTIME_OUTPUT_NAME exec3_runtime)
58 set_property (TARGET exec3 PROPERTY LIBRARY_OUTPUT_NAME exec3_library)
60 set_property (TARGET exec3 PROPERTY PDB_NAME exec3_pdb)
65 set_property (TARGET shared3 PROPERTY PDB_NAME shared3_pdb)
70 set_property (TARGET static3 PROPERTY PDB_NAME static3_pdb)
103 set_property (TARGET exec4 PROPERTY PDB_NAME exec4_pdb)
109 set_property (TARGET shared4 PROPERTY PDB_NAME shared4_pdb)
[all …]
H A DTARGET_FILE_BASE_NAME-imported-target.cmake29 set_property (TARGET exec2 PROPERTY OUTPUT_NAME exec2_custom)
31 set_property (TARGET shared2 PROPERTY OUTPUT_NAME shared2_custom)
33 set_property (TARGET static2 PROPERTY OUTPUT_NAME static2_custom)
46 set_property (TARGET exec3 PROPERTY RUNTIME_OUTPUT_NAME exec3_runtime)
47 set_property (TARGET exec3 PROPERTY LIBRARY_OUTPUT_NAME exec3_library)
48 set_property (TARGET exec3 PROPERTY ARCHIVE_OUTPUT_NAME exec3_archive)
80 set_property (TARGET exec4 PROPERTY RUNTIME_OUTPUT_NAME exec4_runtime)
81 set_property (TARGET exec4 PROPERTY LIBRARY_OUTPUT_NAME exec4_library)
82 set_property (TARGET exec4 PROPERTY ARCHIVE_OUTPUT_NAME exec4_archive)
83 set_property (TARGET exec4 PROPERTY ${FIRST_CONFIG}_POSTFIX _postfix)
[all …]
/dports/devel/cmake-doc/cmake-3.22.1/Tests/RunCMake/GenEx-TARGET_FILE/
H A DTARGET_FILE_BASE_NAME.cmake35 set_property (TARGET exec2 PROPERTY OUTPUT_NAME exec2_custom)
37 set_property (TARGET shared2 PROPERTY OUTPUT_NAME shared2_custom)
39 set_property (TARGET static2 PROPERTY OUTPUT_NAME static2_custom)
57 set_property (TARGET exec3 PROPERTY RUNTIME_OUTPUT_NAME exec3_runtime)
58 set_property (TARGET exec3 PROPERTY LIBRARY_OUTPUT_NAME exec3_library)
60 set_property (TARGET exec3 PROPERTY PDB_NAME exec3_pdb)
65 set_property (TARGET shared3 PROPERTY PDB_NAME shared3_pdb)
70 set_property (TARGET static3 PROPERTY PDB_NAME static3_pdb)
103 set_property (TARGET exec4 PROPERTY PDB_NAME exec4_pdb)
109 set_property (TARGET shared4 PROPERTY PDB_NAME shared4_pdb)
[all …]
H A DTARGET_FILE_BASE_NAME-imported-target.cmake29 set_property (TARGET exec2 PROPERTY OUTPUT_NAME exec2_custom)
31 set_property (TARGET shared2 PROPERTY OUTPUT_NAME shared2_custom)
33 set_property (TARGET static2 PROPERTY OUTPUT_NAME static2_custom)
46 set_property (TARGET exec3 PROPERTY RUNTIME_OUTPUT_NAME exec3_runtime)
47 set_property (TARGET exec3 PROPERTY LIBRARY_OUTPUT_NAME exec3_library)
48 set_property (TARGET exec3 PROPERTY ARCHIVE_OUTPUT_NAME exec3_archive)
80 set_property (TARGET exec4 PROPERTY RUNTIME_OUTPUT_NAME exec4_runtime)
81 set_property (TARGET exec4 PROPERTY LIBRARY_OUTPUT_NAME exec4_library)
82 set_property (TARGET exec4 PROPERTY ARCHIVE_OUTPUT_NAME exec4_archive)
83 set_property (TARGET exec4 PROPERTY ${FIRST_CONFIG}_POSTFIX _postfix)
[all …]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/vivado_ipi/axi_dmac/xgui/
H A Daxi_dmac_v1_0.tcl57 set_property enabled true $DMA_AXI_PROTOCOL_DEST
59 set_property enabled false $DMA_AXI_PROTOCOL_DEST
75 set_property enabled true $DMA_AXI_PROTOCOL_SRC
77 set_property enabled false $DMA_AXI_PROTOCOL_SRC
93 set_property enabled true $SYNC_TRANSFER_START
95 set_property enabled false $SYNC_TRANSFER_START
242 set_property value [get_property value ${PARAM_VALUE.ID}] ${MODELPARAM_VALUE.ID}
287set_property value [get_property value ${PARAM_VALUE.AXI_SLICE_SRC}] ${MODELPARAM_VALUE.AXI_SLICE_…
297 set_property value [get_property value ${PARAM_VALUE.CYCLIC}] ${MODELPARAM_VALUE.CYCLIC}
317set_property value [get_property value ${PARAM_VALUE.DMA_TYPE_SRC}] ${MODELPARAM_VALUE.DMA_TYPE_SR…
[all …]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/tools/scripts/
H A Dviv_sim_project.tcl97 set_property top $sim_top [get_filesets $sim_fileset]
98 set_property default_lib xil_defaultlib [current_project]
103 set_property target_simulator $simulator [current_project]
107 set_property verilog_define "WORKING_DIR=\"$working_dir\"" [get_filesets $sim_fileset]
109 set_property verilog_define "WORKING_DIR=$working_dir" [get_filesets $sim_fileset]
114 set_property xsim.elaborate.debug_level "all" -objects [get_filesets $sim_fileset]
115 set_property xsim.elaborate.unifast $sim_fast -objects [get_filesets $sim_fileset]
123 set_property compxlib.modelsim_compiled_library_dir $sim_complibdir [current_project]
126 set_property modelsim.64bit $sim_64bit -objects [get_filesets $sim_fileset]
128 set_property modelsim.elaborate.acc "true" -objects [get_filesets $sim_fileset]
[all …]
/dports/print/lilypond-devel/lilypond-2.23.5/lily/
H A Dtiming-translator.cc80 set_property (context (), "currentBarNumber", in process_music()
127 set_property (context (), "measureStartNow", SCM_EOL); in stop_translation_timestep()
151 set_property (context (), "currentBarNumber", barnumber); in initialize()
152 set_property (context (), "internalBarNumber", barnumber); in initialize()
172 set_property (context (), "measureLength", measureLength); in initialize()
189 set_property (context (), "beamExceptions", beamExceptions); in initialize()
199 set_property (context (), "baseMoment", baseMoment); in initialize()
209 set_property (context (), "beatStructure", beatStructure); in initialize()
211 set_property (context (), "beamHalfMeasure", in initialize()
214 set_property (context (), "autoBeaming", in initialize()
[all …]
/dports/audio/ardour6/Ardour-6.8.0/libs/ardour/
H A Dexport_format_specification.cc76 node->set_property ("format", type); in get_state()
86 node->set_property ("bars", bbt.bars); in get_state()
87 node->set_property ("beats", bbt.beats); in get_state()
88 node->set_property ("ticks", bbt.ticks); in get_state()
91 node->set_property ("samples", samples); in get_state()
94 node->set_property ("seconds", seconds); in get_state()
279 root->set_property ("name", _name); in get_state()
280 root->set_property ("id", _id.to_s()); in get_state()
290 node->set_property ("type", type()); in get_state()
711 node->set_property ("name", name); in add_option()
[all …]
/dports/devel/cmake/cmake-3.22.1/Tests/VSWinStorePhone/
H A DCMakeLists.txt29 set_property(GLOBAL PROPERTY USE_FOLDERS ON)
111 set_property(SOURCE ${CONTENT_FILES} PROPERTY VS_DEPLOYMENT_CONTENT 1)
112 set_property(SOURCE ${ASSET_FILES} PROPERTY VS_DEPLOYMENT_CONTENT 1)
113 set_property(SOURCE ${ASSET_FILES} PROPERTY VS_DEPLOYMENT_LOCATION "Assets")
114 set_property(SOURCE ${STRING_FILES} PROPERTY VS_TOOL_OVERRIDE "PRIResource")
116 set_property(SOURCE ${RELEASE_CONTENT_FILES} PROPERTY
119 set_property(SOURCE ${PIXELSHADER_FILES} PROPERTY VS_SHADER_TYPE Pixel)
120 set_property(SOURCE ${PIXELSHADER_FILES} PROPERTY VS_SHADER_ENTRYPOINT mainPS)
125 set_property(SOURCE ${VERTEXSHADER_FILES} PROPERTY VS_SHADER_TYPE Vertex)
137 set_property(TARGET ${EXE_NAME} PROPERTY VS_WINRT_COMPONENT TRUE)
[all …]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dtiming.xdc136 set_property BEL A5FF [get_cells {cap_db0/adc_data_rclk_reg*[0]}]
137 set_property LOC SLICE_X1Y192 [get_cells {cap_db0/adc_data_rclk_reg*[0]}]
138 set_property BEL B5FF [get_cells {cap_db0/adc_data_rclk_reg*[1]}]
139 set_property LOC SLICE_X1Y192 [get_cells {cap_db0/adc_data_rclk_reg*[1]}]
140 set_property BEL AFF [get_cells {cap_db0/adc_data_rclk_reg*[2]}]
141 set_property LOC SLICE_X1Y190 [get_cells {cap_db0/adc_data_rclk_reg*[2]}]
142 set_property BEL BFF [get_cells {cap_db0/adc_data_rclk_reg*[3]}]
143 set_property LOC SLICE_X1Y190 [get_cells {cap_db0/adc_data_rclk_reg*[3]}]
144 set_property BEL A5FF [get_cells {cap_db0/adc_data_rclk_reg*[4]}]
145 set_property LOC SLICE_X1Y188 [get_cells {cap_db0/adc_data_rclk_reg*[4]}]
[all …]
/dports/print/lilypond/lilypond-2.22.1/lily/
H A Dpaper-column-engraver.cc61 set_property (command_column_, "when", m); in finalize()
62 set_property (musical_column_, "when", m); in finalize()
191 set_property (command_column_, perm_str.c_str (), perm); in handle_manual_breaks()
219 set_property (column, "measure-length", mlen.smobbed_copy ()); in process_music()
232 set_property (command_column_, "when", m); in stop_translation_timestep()
233 set_property (musical_column_, "when", m); in stop_translation_timestep()
243 set_property (command_column_, "rhythmic-location", where); in stop_translation_timestep()
244 set_property (musical_column_, "rhythmic-location", where); in stop_translation_timestep()
268 set_property (command_column_, "page-turn-permission", SCM_EOL); in stop_translation_timestep()
269 set_property (command_column_, "page-break-permission", SCM_EOL); in stop_translation_timestep()
[all …]
/dports/multimedia/gstreamer1-plugins-rust/gst-plugins-rs-d0466b3eee114207f851b37cae0015c0e718f021/generic/threadshare/examples/
H A Dbenchmark.rs69 sink.set_property("sync", &false).unwrap(); in main()
70 sink.set_property("async", &false).unwrap(); in main()
87 .set_property("port", &(40000i32 + (i as i32))) in main()
90 .set_property("retrieve-sender-address", &false) in main()
100 .set_property("port", &(40000i32 + (i as i32))) in main()
105 source.set_property("context-wait", &wait).unwrap(); in main()
115 source.set_property("host", &"127.0.0.1").unwrap(); in main()
116 source.set_property("port", &40000i32).unwrap(); in main()
126 source.set_property("host", &"127.0.0.1").unwrap(); in main()
127 source.set_property("port", &40000i32).unwrap(); in main()
[all …]
/dports/cad/openfpgaloader/openFPGALoader-0.6.1/spiOverJtag/
H A Dconstr_xc7a_ftg256.xdc1 set_property CFGBVS VCCO [current_design]
2 set_property CONFIG_VOLTAGE 3.3 [current_design]
3 set_property BITSTREAM.CONFIG.SPI_BUSWIDTH {4} [current_design]
4 set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
6 set_property -dict {PACKAGE_PIN L12 IOSTANDARD LVCMOS33} [get_ports {csn}];
7 set_property -dict {PACKAGE_PIN J13 IOSTANDARD LVCMOS33} [get_ports {sdi_dq0}];
8 set_property -dict {PACKAGE_PIN J14 IOSTANDARD LVCMOS33} [get_ports {sdo_dq1}];
9 set_property -dict {PACKAGE_PIN K15 IOSTANDARD LVCMOS33} [get_ports {wpn_dq2}];
10 set_property -dict {PACKAGE_PIN K16 IOSTANDARD LVCMOS33} [get_ports {hldn_dq3}];

12345678910>>...348