Home
last modified time | relevance | path

Searched refs:Delay (Results 1 – 25 of 6742) sorted by relevance

12345678910>>...270

/dports/audio/lsp-plugins-lv2/lsp-plugins-1.1.31/res/demo/art_delay/
H A Dart-delay-stereo.cfg16 # Delay line selector: 0..15
222 # Delay 0 reference: 0..16
362 # Delay 1 reference: 0..16
502 # Delay 2 reference: 0..16
642 # Delay 3 reference: 0..16
782 # Delay 4 reference: 0..16
922 # Delay 5 reference: 0..16
1062 # Delay 6 reference: 0..16
1202 # Delay 7 reference: 0..16
1342 # Delay 8 reference: 0..16
[all …]
H A Dart-delay-mono.cfg16 # Delay line selector: 0..15
219 # Delay 0 reference: 0..16
356 # Delay 1 reference: 0..16
493 # Delay 2 reference: 0..16
630 # Delay 3 reference: 0..16
767 # Delay 4 reference: 0..16
904 # Delay 5 reference: 0..16
1041 # Delay 6 reference: 0..16
1178 # Delay 7 reference: 0..16
1315 # Delay 8 reference: 0..16
[all …]
/dports/cad/openroad/OpenROAD-2.0/src/sta/include/sta/
H A DDelayNormal1.hh23 class Delay;
27 class Delay class
30 Delay();
31 Delay(const Delay &delay);
43 Delay operator+(const Delay &delay) const;
45 Delay operator-(const Delay &delay) const;
76 Delay
81 Delay
101 const Delay &
151 Delay delayRemove(const Delay &delay1,
[all …]
H A DDelayNormal2.hh23 class Delay;
27 class Delay class
30 Delay();
31 Delay(const Delay &delay);
46 Delay operator+(const Delay &delay) const;
48 Delay operator-(const Delay &delay) const;
83 Delay
88 Delay
108 const Delay &
158 Delay delayRemove(const Delay &delay1,
[all …]
H A DDelayFloat.hh27 typedef float Delay; typedef
47 inline Delay
55 inline Delay
79 delaySigma2(const Delay &, in delaySigma2() argument
85 const Delay &
96 const Delay &delay2);
99 const Delay &delay2,
103 const Delay &delay2,
121 const Delay &delay2,
125 const Delay &delay2,
[all …]
/dports/cad/openroad/OpenROAD-2.0/src/sta/graph/
H A DDelayNormal1.cc54 Delay::Delay() : in Delay() function in sta::Delay
60 Delay::Delay(const Delay &delay) : in Delay() function in sta::Delay
66 Delay::Delay(float mean) : in Delay() function in sta::Delay
72 Delay::Delay(float mean, in Delay() function in sta::Delay
97 Delay::operator=(const Delay &delay) in operator =()
111 Delay::operator+=(const Delay &delay) in operator +=()
123 Delay
130 Delay
136 Delay
143 Delay
[all …]
H A DDelayNormal2.cc54 Delay::Delay() : in Delay() function in sta::Delay
60 Delay::Delay(const Delay &delay) : in Delay() function in sta::Delay
67 Delay::Delay(float mean) : in Delay() function in sta::Delay
73 Delay::Delay(float mean, in Delay() function in sta::Delay
112 Delay::operator=(const Delay &delay) in operator =()
128 Delay::operator+=(const Delay &delay) in operator +=()
141 Delay
149 Delay
155 Delay
163 Delay
[all …]
H A DDelayFloat.cc63 const Delay &
90 const Delay &delay2) in delayEqual()
97 const Delay &delay2, in delayLess()
105 const Delay &delay2, in delayLess()
137 const Delay &delay2, in delayGreater()
145 const Delay &delay2, in delayGreater()
157 const Delay &delay2, in delayGreaterEqual()
165 const Delay &delay2, in delayGreaterEqual()
175 Delay
177 const Delay &delay2) in delayRemove()
[all …]
/dports/games/libretro-fbneo/FBNeo-bbe3c05/src/cpu/a68k/mips/
H A Da68k.s38 nop # Delay slot
63 movz $15,$0,$9 # Delay slot
88 nop # Delay slot
92 lhu $24,0x00($23) # Delay slot
100 nop # Delay slot
136 addiu $29,$29,112 # Delay slot
147 nop # Delay slot
153 nop # Delay slot
168 lw $3,0x40($29) # Delay slot
264 or $4,$0,$23 # Delay slot
[all …]
/dports/games/libretro-fbalpha/fbalpha-84eb9d9/src/cpu/a68k/mips/
H A Da68k.s38 nop # Delay slot
63 movz $15,$0,$9 # Delay slot
88 nop # Delay slot
92 lhu $24,0x00($23) # Delay slot
100 nop # Delay slot
136 addiu $29,$29,112 # Delay slot
147 nop # Delay slot
153 nop # Delay slot
168 lw $3,0x40($29) # Delay slot
264 or $4,$0,$23 # Delay slot
[all …]
/dports/emulators/spim/spim-8.0/Tests/
H A Dtt.fpu.bare.s916 addu $0 $0 $0 # Nop Delay slot
918 addu $0 $0 $0 # Nop Delay slot
925 addu $0 $0 $0 # Nop Delay slot
927 addu $0 $0 $0 # Nop Delay slot
929 addu $0 $4 $4 # Nop Delay slot
949 addu $0 $0 $0 # Nop Delay slot
951 addu $0 $0 $0 # Nop Delay slot
952 addu $0 $0 $0 # Nop Delay slot
954 addu $0 $0 $0 # Nop Delay slot
956 addu $0 $0 $0 # Nop Delay slot
[all …]
/dports/biology/py-python-libsbml/python-libsbml-5.19.0/libsbml_source/src/sbml/
H A DDelay.cpp67 Delay::Delay (unsigned int level, unsigned int version) : in Delay() function in Delay
77 Delay::Delay (SBMLNamespaces * sbmlns) : in Delay() function in Delay
94 Delay::~Delay () in ~Delay()
103 Delay::Delay (const Delay& orig) in Delay() function in Delay
121 Delay& Delay::operator=(const Delay& rhs) in operator =()
156 Delay*
157 Delay::clone () const in clone()
168 Delay::getMath () const in getMath()
179 Delay::isSetMath () const in isSetMath()
890 Delay* obj = new Delay(level,version); in Delay_create()
[all …]
/dports/biology/libsbml/libsbml-5.19.0/src/sbml/
H A DDelay.cpp67 Delay::Delay (unsigned int level, unsigned int version) : in Delay() function in Delay
77 Delay::Delay (SBMLNamespaces * sbmlns) : in Delay() function in Delay
94 Delay::~Delay () in ~Delay()
103 Delay::Delay (const Delay& orig) in Delay() function in Delay
121 Delay& Delay::operator=(const Delay& rhs) in operator =()
156 Delay*
157 Delay::clone () const in clone()
168 Delay::getMath () const in getMath()
179 Delay::isSetMath () const in isSetMath()
890 Delay* obj = new Delay(level,version); in Delay_create()
[all …]
/dports/games/vultures-eye/vulture-2.3.67/vulture/gamedata/config/
H A Djtp_snds.new123 Delay 0
134 Delay 0
144 Delay 0
158 Delay 0
181 Delay 0
209 Delay 0
225 Delay 0
238 Delay 0
256 Delay 0
293 Delay 0
[all …]
/dports/games/stonesoup/crawl-0.27.1/crawl-ref/source/
H A Ddelay.h100 class Delay
137 Delay(int dur) : duration{dur} in Delay() function
140 virtual ~Delay() = default;
230 class EquipOnDelay : public Delay
262 class EquipOffDelay : public Delay
319 class MemoriseDelay : public Delay
344 class PasswallDelay : public Delay
459 class BaseRunDelay : public Delay
485 BaseRunDelay() : Delay(1) in BaseRunDelay()
575 class MacroDelay : public Delay
[all …]
/dports/science/simlib/simlib/src/
H A Ddelay.cc66 INSTALL_HOOK( Delay, 0 ); in Destroy()
72 std::list<Delay *>::iterator i; in SampleAll()
79 std::list<Delay *>::iterator i; in InitAll()
86 std::list<Delay *> *SIMLIB_Delay::listptr = 0;
91 struct Delay::Buffer {
178 Delay::Delay(Input i, double _dt, double ival) : in Delay() function in simlib3::Delay
193 Delay::~Delay() in ~Delay()
207 void Delay::Init() { in Init()
215 void Delay::Sample() in Sample()
223 double Delay::Value() in Value()
[all …]
/dports/audio/audacity/audacity-Audacity-3.1.3/lib-src/libnyquist/nyquist/nyqstk/src/
H A DDelay.cpp25 Delay :: Delay() : Filter() in Delay() function in Delay
36 Delay :: Delay(unsigned long delay, unsigned long maxDelay) in Delay() function in Delay
60 Delay :: ~Delay() in ~Delay()
64 void Delay :: clear(void) in clear()
71 void Delay :: setMaximumDelay(unsigned long delay) in setMaximumDelay()
92 void Delay :: setDelay(unsigned long delay) in setDelay()
119 unsigned long Delay :: getDelay(void) const in getDelay()
124 StkFloat Delay :: energy(void) const in energy()
167 StkFloat Delay :: lastOut(void) const in lastOut()
172 StkFloat Delay :: nextOut(void) in nextOut()
[all …]
/dports/cad/abc/abc-a4518e6f833885c905964f1233d11e5b941ec24c/src/map/if/
H A DifDelay.c71 int i, nLitMax, Delay, DelayMax; in If_CutDelaySop() local
95 … DelayMax = Abc_MaxInt( DelayMax, If_ObjCutBest(pLeaf)->Delay + (pPerm[i] = (char)Delay) ); in If_CutDelaySop()
103 … DelayMax = Abc_MaxInt( DelayMax, If_ObjCutBest(pLeaf)->Delay + (pPerm[i] = (char)Delay) ); in If_CutDelaySop()
147 return Delay; in If_CutSopBalancePinDelaysInt()
151 int i, Delay; in If_CutSopBalancePinDelaysIntInt() local
157 return Delay; in If_CutSopBalancePinDelaysIntInt()
230 return Delay; in If_CutSopBalanceEvalInt()
275 int Delay, Area = 0; in If_CutSopBalanceEval() local
312 return Delay; in If_CutSopBalanceEval()
340 int i, Delay, DelayMax = -1; in If_CutLutBalancePinDelays() local
[all …]
/dports/net/spread4/spread-src-4.2.0/daemon/
H A Ds.c56 static sp_time Delay; variable
114 if( i%Burst == 0 ) E_delay( Delay ); in main()
119 Delay.usec = 10000; in main()
121 E_delay( Delay ); in main()
135 Delay.sec = 0; in Usage()
136 Delay.usec = 10000; in Usage()
146 Delay.usec = Delay.usec*1000; in Usage()
147 Delay.sec = 0; in Usage()
148 if( Delay.usec > 1000000 ) in Usage()
150 Delay.sec = Delay.usec / 1000000; in Usage()
[all …]
/dports/net/spread-j/spread-src-3.17.3/
H A Ds.c55 static sp_time Delay; variable
113 if( i%Burst == 0 ) E_delay( Delay ); in main()
118 Delay.usec = 10000; in main()
120 E_delay( Delay ); in main()
134 Delay.sec = 0; in Usage()
135 Delay.usec = 10000; in Usage()
145 Delay.usec = Delay.usec*1000; in Usage()
146 Delay.sec = 0; in Usage()
147 if( Delay.usec > 1000000 ) in Usage()
149 Delay.sec = Delay.usec / 1000000; in Usage()
[all …]
/dports/net/spread/spread-src-3.17.4/
H A Ds.c55 static sp_time Delay; variable
113 if( i%Burst == 0 ) E_delay( Delay ); in main()
118 Delay.usec = 10000; in main()
120 E_delay( Delay ); in main()
134 Delay.sec = 0; in Usage()
135 Delay.usec = 10000; in Usage()
145 Delay.usec = Delay.usec*1000; in Usage()
146 Delay.sec = 0; in Usage()
147 if( Delay.usec > 1000000 ) in Usage()
149 Delay.sec = Delay.usec / 1000000; in Usage()
[all …]
/dports/audio/spiralsynthmodular/spiralmodular-0.2.2/SpiralSound/Plugins/DelayPlugin/
H A DDelayPlugin.C89 int Delay; in Execute() local
92 Delay = (int)((m_Delay + GetInput(1,n) * 0.5f) * (float)m_HostInfo->SAMPLERATE); in Execute()
93 if (Delay >= max_pos) Delay = (int)(max_pos) - 1; in Execute()
94 if (Delay < 0) Delay=0; in Execute()
110 m_ReadHeadPos = m_WriteHeadPos + GetInput (2, n) * Delay; in Execute()
111 if (m_ReadHeadPos < 0) m_ReadHeadPos = Delay + m_ReadHeadPos; in Execute()
112 if (m_WriteHeadPos < 0) m_WriteHeadPos = Delay + m_WriteHeadPos; in Execute()
113 if (Delay > 0) { in Execute()
114 if (m_ReadHeadPos >= Delay) m_ReadHeadPos = m_ReadHeadPos - Delay; in Execute()
115 if (m_WriteHeadPos >= Delay) m_WriteHeadPos = m_WriteHeadPos - Delay; in Execute()
/dports/security/vault/vault-1.8.2/vendor/github.com/jeffchao/backoff/
H A Dmild.go16 Delay time.Duration member
26 Delay: time.Duration(0),
90 time.Sleep(m.Delay)
99 if m.Delay == 0 {
100 m.Delay = time.Duration(1 * m.Interval)
102 m.Delay = m.Delay + (m.Delay / 2)
105 m.Slots = append(m.Slots, m.Delay)
114 m.Delay = time.Duration(0 * m.Interval)
116 m.Delay = m.Slots[len(m.Slots)-1]
123 m.Delay = time.Duration(0 * m.Interval)
/dports/devel/gitlab-runner/gitlab-runner-8925d9a06fd8e452e2161a768462652a2a13111f/vendor/github.com/hashicorp/vault/vault-api-v1.0.4/vendor/github.com/jeffchao/backoff/
H A Dmild.go16 Delay time.Duration member
26 Delay: time.Duration(0),
90 time.Sleep(m.Delay)
99 if m.Delay == 0 {
100 m.Delay = time.Duration(1 * m.Interval)
102 m.Delay = m.Delay + (m.Delay / 2)
105 m.Slots = append(m.Slots, m.Delay)
114 m.Delay = time.Duration(0 * m.Interval)
116 m.Delay = m.Slots[len(m.Slots)-1]
123 m.Delay = time.Duration(0 * m.Interval)
/dports/sysutils/helmfile/vault-f6547fa8e820/vendor/github.com/jeffchao/backoff/
H A Dmild.go16 Delay time.Duration member
26 Delay: time.Duration(0),
90 time.Sleep(m.Delay)
99 if m.Delay == 0 {
100 m.Delay = time.Duration(1 * m.Interval)
102 m.Delay = m.Delay + (m.Delay / 2)
105 m.Slots = append(m.Slots, m.Delay)
114 m.Delay = time.Duration(0 * m.Interval)
116 m.Delay = m.Slots[len(m.Slots)-1]
123 m.Delay = time.Duration(0 * m.Interval)

12345678910>>...270