Home
last modified time | relevance | path

Searched refs:UASSERT_OBJ (Results 1 – 25 of 79) sorted by relevance

1234

/dports/cad/verilator/verilator-4.216/src/
H A DV3Broken.cpp150 UASSERT_OBJ(s_allocTable.isAllocated(nodep), nodep, in visit()
153 UASSERT_OBJ(nodep->brokenState() != m_brokenCntCurrent, nodep, in visit()
187 UASSERT_OBJ(nodep->width() == nodep->widthMin() in checkWidthMin()
195 UASSERT_OBJ(!whyp, nodep, in processEnter()
198 UASSERT_OBJ(nodep->dtypep()->brokeExists(), nodep, in processEnter()
200 UASSERT_OBJ(nodep->dtypep(), nodep, in processEnter()
205 UASSERT_OBJ(nodep->dtypep(), nodep, in processEnter()
208 UASSERT_OBJ(!nodep->dtypep(), nodep, in processEnter()
211 UASSERT_OBJ(!nodep->getChildDTypep(), nodep, in processEnter()
261 UASSERT_OBJ( in visit()
[all …]
H A DV3Combine.cpp68 UASSERT_OBJ(oldp->funcp() == oldfuncp, oldp, in replaceFunc()
136 UASSERT_OBJ(oldfuncp, itr.second, "Not a CFunc in hash"); in walkEmptyFuncs()
138 UASSERT_OBJ(!oldfuncp->dontCombine(), oldfuncp, in walkEmptyFuncs()
142 UASSERT_OBJ(!oldfuncp->user3(), oldfuncp, "Should not be processed yet"); in walkEmptyFuncs()
156 UASSERT_OBJ(newfuncp, newIt->second, "Not a CFunc in hash"); in walkDupFuncs()
163 UASSERT_OBJ(oldfuncp, oldIt->second, "Not a CFunc in hash"); in walkDupFuncs()
164 UASSERT_OBJ(newfuncp != oldfuncp, newfuncp, in walkDupFuncs()
H A DV3Ast.cpp257 UDEBUGONLY(UASSERT_OBJ(newp, nodep, "Null item passed to addNext");); in addNext()
268 UDEBUGONLY(UASSERT_OBJ(!oldtailp->m_nextp, nodep, in addNext()
348 UDEBUGONLY(UASSERT_OBJ(!m_op1p, this, "Adding to non-empty, non-list op1");); in setOp1p()
349 UDEBUGONLY(UASSERT_OBJ(!newp->m_backp, newp, "Adding already linked node");); in setOp1p()
635 UASSERT_OBJ(!(newlistlastp->m_nextp && newlistlastp != newp), newp, in relinkOneLink()
638 UASSERT_OBJ(!(oldlistlastp->m_nextp && oldlistlastp != pointpr), newp, in relinkOneLink()
982 UASSERT_OBJ(backp == this->backp(), this, "Back node inconsistent"); in checkTreeIter()
985 UASSERT_OBJ(!(op1p() || op2p() || op3p() || op4p()), this, in checkTreeIter()
1001 UASSERT_OBJ(headp == this || !nextp(), this, in checkTreeIterList()
1216 UASSERT_OBJ(dtypep(), this, "No dtype when changing to (un)signed"); in dtypeChgSigned()
[all …]
H A DV3ParseSym.h58 UASSERT_OBJ(nodep->user4p(), nodep, "Current symtable not found"); in getTable()
125 UASSERT_OBJ(!m_sympStack.empty(), nodep, "symbol stack underflow"); in popScope()
149 UASSERT_OBJ(symp, classp, // Internal problem, because we earlier found it in importExtends()
158 UASSERT_OBJ(symp, packagep, // Internal problem, because we earlier found it in importItem()
167 UASSERT_OBJ(symp, packagep, // Internal problem, because we earlier found it in exportItem()
H A DV3SplitVar.cpp290 UASSERT_OBJ(isSingleRef(), m_nodep, "not array sel"); in index()
322 UASSERT_OBJ(inserted, varp, "already registered"); in registerVar()
420 UASSERT_OBJ(dtypep, nodep, "Must be unapcked array"); in outerMostSizeOfUnpackedArray()
439 UASSERT_OBJ(m_modp, nodep, "Must not nullptr"); in pushDeletep()
445 UASSERT_OBJ(m_modp, varp, "Must not nullptr"); in newVar()
451 UASSERT_OBJ(m_modp, refp, "Must not nullptr"); in newVarRef()
494 UASSERT_OBJ(ftaskp, nodep, "Unlinked"); in visit()
539 UASSERT_OBJ(!m_inFTask, nodep, "Nested func/task"); in visit()
659 UASSERT_OBJ(varp->isIO(), varp, "must be port"); in connectPort()
824 UASSERT_OBJ(!m_varp, m_varp, "must be nullptr"); in varp()
[all …]
H A DV3GenClk.cpp70 UASSERT_OBJ(vscp != v3Global.rootp()->dpiExportTriggerp(), vscp, in genInpClk()
102 UASSERT_OBJ(vscp, nodep, "Scope not assigned"); in visit()
118 UASSERT_OBJ(nodep->sensesp(), nodep, "Unlinked"); in visit()
192 UASSERT_OBJ(vscp, nodep, "Scope not assigned"); in visit()
213 UASSERT_OBJ(nodep->sensesp(), nodep, "Unlinked"); in visit()
H A DV3LinkParse.cpp299 UASSERT_OBJ(typep, nodep, "Attribute not attached to typedef"); in visit()
303 UASSERT_OBJ(m_varp, nodep, "Attribute not attached to variable"); in visit()
307 UASSERT_OBJ(m_varp, nodep, "Attribute not attached to variable"); in visit()
312 UASSERT_OBJ(m_varp, nodep, "Attribute not attached to variable"); in visit()
316 UASSERT_OBJ(m_varp, nodep, "Attribute not attached to variable"); in visit()
320 UASSERT_OBJ(m_varp, nodep, "Attribute not attached to variable"); in visit()
324 UASSERT_OBJ(m_varp, nodep, "Attribute not attached to variable"); in visit()
328 UASSERT_OBJ(m_varp, nodep, "Attribute not attached to variable"); in visit()
332 UASSERT_OBJ(m_varp, nodep, "Attribute not attached to variable"); in visit()
344 UASSERT_OBJ(m_varp, nodep, "Attribute not attached to variable"); in visit()
[all …]
H A DV3MergeCond.cpp171 UASSERT_OBJ(!nodep->isWide(), nodep, "Cannot handle wide nodes"); in yieldsOneOrZero()
235 UASSERT_OBJ(andp->rhsp() == condp, rhsp, "Should not try to fold this"); in foldAndUnlink()
243 UASSERT_OBJ(andp->rhsp()->sameTree(m_mgCondp), rhsp, in foldAndUnlink()
265 UASSERT_OBJ(m_mgFirstp && m_mgFirstp->backp() == backp, m_mgLastp, in mergeEnd()
274 UASSERT_OBJ(m_mgLastp && m_mgLastp->nextp() == nextp, m_mgFirstp, in mergeEnd()
317 UASSERT_OBJ(ifp, currp, "Must be AstNodeIf"); in mergeEnd()
355 UASSERT_OBJ(m_mgFirstp, nodep, "Cannot check with empty list"); in isSimplifiableNode()
406 UASSERT_OBJ(condp, nodep, "Cannot start new list without condition " << line); in addToList()
441 UASSERT_OBJ(m_mgFirstp, nodep, "List must be open"); in addIfHelpfulElseEndMerge()
H A DV3Delayed.cpp132 UASSERT_OBJ(oldvarscp->scopep(), oldvarscp, "Var unscoped"); in createVarSc()
170 UASSERT_OBJ(oldactivep, varrefp, "<= old dly assignment not put under sensitivity block"); in checkActivePost()
215 UASSERT_OBJ(arrayselp, nodep, "No arraysel under bitsel?"); in createDlyArray()
216 UASSERT_OBJ(!VN_IS(arrayselp->dtypep()->skipRefp(), UnpackArrayDType), nodep, in createDlyArray()
228 UASSERT_OBJ(varrefp, nodep, "No var underneath arraysels"); in createDlyArray()
229 UASSERT_OBJ(varrefp->varScopep(), varrefp, "Var didn't get varscoped in V3Scope.cpp"); in createDlyArray()
348 UASSERT_OBJ(postLogicp, nodep, in createDlyArray()
430 UASSERT_OBJ(m_activep, nodep, "<= not under sensitivity block"); in visit()
431 UASSERT_OBJ(!nodep->access().isRW(), nodep, "<= on read+write method"); in visit()
437 UASSERT_OBJ(oldvscp, nodep, "Var didn't get varscoped in V3Scope.cpp"); in visit()
H A DV3LinkDot.cpp403 UASSERT_OBJ(it != m_nameScopeSymMap.end(), nodep, in getScopeSym()
499 UASSERT_OBJ( in insertScopeAlias()
898 UASSERT_OBJ(aboveSymp, nodep, in visit()
923 UASSERT_OBJ(aboveSymp, nodep, in visit()
1376 UASSERT_OBJ(m_statep->forPrearray(), nodep, in visit()
1568 UASSERT_OBJ( in visit()
1576 UASSERT_OBJ(mpSymp, nodep, in visit()
1617 UASSERT_OBJ(refp || xrefp, nodep, in visit()
1648 UASSERT_OBJ(refp || xrefp, nodep, in visit()
1973 UASSERT_OBJ(nodep->modp(), nodep, in visit()
[all …]
H A DV3InstrCount.cpp96 UASSERT_OBJ(!nodep->user5p(), nodep, in startVisitBase()
229 UASSERT_OBJ(nodep == m_startNodep, nodep, "Multiple actives, or not start node"); in visit()
236 UASSERT_OBJ(!m_tracingCall, nodep, "visit(AstCFunc) should have cleared m_tracingCall."); in visit()
241 UASSERT_OBJ(m_tracingCall || nodep == m_startNodep, nodep, in visit()
275 UASSERT_OBJ(osp, nodep, "Don't call if not dumping");
H A DV3Scope.cpp72 UASSERT_OBJ(it2 != m_packageScopes.end(), nodep, "Can't locate package scope"); in cleanupVarRefs()
76 UASSERT_OBJ(it3 != m_varScopes.end(), nodep, "Can't locate varref scope"); in cleanupVarRefs()
124 UASSERT_OBJ(modp, cellp, "Unlinked mod"); in visit()
272 UASSERT_OBJ(m_scopep, nodep, "No scope for var"); in visit()
280 UASSERT_OBJ(nodep->varp(), nodep, "Unlinked"); in visit()
371 UASSERT_OBJ(nodep->taskp(), nodep, "Unlinked"); in visit()
373 UASSERT_OBJ(newp, nodep, "No clone for package function"); in visit()
H A DV3ActiveTop.cpp65 UASSERT_OBJ(sensesp, nodep, "nullptr"); in visit()
68 UASSERT_OBJ(!sensesp->sensesp()->nextp(), nodep, in visit()
90 UASSERT_OBJ(sensesp == nodep->sensesStorep(), nodep, in visit()
H A DV3Clock.cpp55 UASSERT_OBJ(!nodep->access().isRW(), nodep, "Cannot handle a READWRITE reference"); in visit()
139 UASSERT_OBJ(nodep->varrefp(), nodep, "No clock found on sense item"); in createSenItemEquation()
188 UASSERT_OBJ(senEqnp, sensesp, "No sense equation, shouldn't be in sequent activation."); in makeActiveIf()
249 UASSERT_OBJ(m_scopep, nodep, in visit()
293 UASSERT_OBJ(m_topScopep, nodep, "Final clocks under non-top scope"); in visit()
352 UASSERT_OBJ(!nodep->stmtsp(), nodep, "Non-empty lower active"); in visit()
358 UASSERT_OBJ(!nodep->hasInitial(), nodep, in visit()
384 UASSERT_OBJ(!nodep->hasInitial(), nodep, in visit()
H A DV3Task.cpp121 UASSERT_OBJ(scopep, nodep, "No scope for function"); in getScope()
131 UASSERT_OBJ(classp, nodep, "No class for ctor func"); in getClassp()
202 UASSERT_OBJ(nodep->taskp(), nodep, "Unlinked task"); in visit()
303 UASSERT_OBJ(newvscp, nodep, "not linked"); in visit()
476 UASSERT_OBJ(refp->taskp(), refp, "Unlinked?"); in createInlinedFTask()
598 UASSERT_OBJ(refp->taskp(), refp, "Unlinked?"); in createNonInlinedFTask()
675 UASSERT_OBJ(snp, refp, "Missing scoping context"); in createNonInlinedFTask()
1243 UASSERT_OBJ(snp, nodep, "Missing scoping context"); in makeUserFunc()
1406 UASSERT_OBJ(nodep->taskp(), nodep, "Unlinked?"); in visit()
1410 UASSERT_OBJ(m_scopep, nodep, "func ref not under scope"); in visit()
[all …]
H A DV3Order.cpp136 UASSERT_OBJ(fromSenListp, fromp, "sensitivity list empty"); in domainsExclusive()
137 UASSERT_OBJ(toSenListp, top, "sensitivity list empty"); in domainsExclusive()
401 UASSERT_OBJ(!m_logicVxp, nodep, "Should not nest"); in iterateLogic()
427 UASSERT_OBJ(!m_scopep, nodep, "Should not nest"); in visit()
433 UASSERT_OBJ(!nodep->sensesStorep(), nodep, in visit()
468 UASSERT_OBJ(m_logicVxp || m_activeSenVxp, nodep, in visit()
475 UASSERT_OBJ(!nodep->access().isWriteOrRW(), nodep, in visit()
651 UASSERT_OBJ(!m_inPost, nodep, "Should not nest"); in visit()
671 UASSERT_OBJ(!m_inClkAss, nodep, "Should not nest"); in visit()
1341 UASSERT_OBJ(m_onReadyList, vertexp, in movedVertex()
[all …]
H A DV3Trace.cpp205 UASSERT_OBJ(nodep->valuep()->backp() == nodep, nodep, in detectDuplicates()
224 UASSERT_OBJ(dupDeclp, nodep, "Trace duplicate of wrong type"); in detectDuplicates()
276 UASSERT_OBJ(actVtxp, vvertexp->nodep(), in graphSimplify()
333 UASSERT_OBJ(cfvertexp, vtxp->nodep(), in sortTraces()
343 UASSERT_OBJ(actSet.count(TraceActivityVertex::ACTIVITY_ALWAYS) == 0 in sortTraces()
566 UASSERT_OBJ(!canonVtxp->duplicatep(), canonDeclp, in createFullTraceFunction()
568 UASSERT_OBJ(canonDeclp->code() != 0, canonDeclp, in createFullTraceFunction()
574 UASSERT_OBJ(declp->code() == 0, declp, in createFullTraceFunction()
852 UASSERT_OBJ(m_cfuncp, nodep, "Trace not under func"); in visit()
860 UASSERT_OBJ(nodep->varScopep(), nodep, "No var scope?"); in visit()
[all …]
H A DV3LifePost.cpp58 UASSERT_OBJ(vscp, nodep, "Scope not assigned"); in visit()
260 UASSERT_OBJ(m_mtasksGraphp, nodep, "Should have initted m_mtasksGraphp by now"); in visit()
263 UASSERT_OBJ(!m_mtasksGraphp, nodep, in visit()
279 UASSERT_OBJ(vscp, nodep, "Scope not assigned"); in visit()
298 UASSERT_OBJ(m_assignposts.find(dlyVarp) == m_assignposts.end(), nodep, in visit()
H A DV3Simulate.h302 UASSERT_OBJ(valuep, nodep, "No value found for node."); in fetchValue()
308 UASSERT_OBJ(constp, nodep, "No value found for node."); in fetchConst()
314 UASSERT_OBJ(constp, nodep, "No value found for node."); in fetchOutConst()
332 UASSERT_OBJ(valuep, nodep, "Simulate setting null value"); in setValue()
374 UASSERT_OBJ(vscp, nodep, "Not linked"); in varOrScope()
406 UASSERT_OBJ(nodep->varp(), nodep, "Unlinked"); in visit()
452 UASSERT_OBJ(nodep->access().isReadOnly(), nodep, in visit()
528 UASSERT_OBJ(nodep->itemp(), nodep, "Not linked"); in visit()
710 UASSERT_OBJ(varrefp, nodep, in handleAssignSel()
973 UASSERT_OBJ(funcp, nodep, "Not linked"); in visit()
[all …]
H A DV3EmitCFunc.cpp112 UASSERT_OBJ(m_wideTempRefp, nodep, in emitOpName()
144 UASSERT_OBJ(detailp, nodep, "emitOperator() references undef node"); in emitOpName()
326 UASSERT_OBJ(fmtp, dispp, in displayArg()
328 UASSERT_OBJ(!fmtp->timeunit().isNone(), fmtp, "timenunit must be set"); in displayArg()
408 UASSERT_OBJ(scopenamep, nodep, "Display with %m but no AstScopeName"); in displayNode()
441 UASSERT_OBJ(!selfPointer.empty(), nodep, "Call to loose method without self pointer"); in emitCCallArgs()
620 UASSERT_OBJ(varp->valuep(), varp, "No init for a param?"); in emitVarReset()
669 UASSERT_OBJ(adtypep->hi() >= adtypep->lo(), varp, in emitVarResetRecurse()
738 UASSERT_OBJ(VN_IS(lhsp, VarRef) || VN_IS(lhsp, ArraySel), changep, "Not ref?"); in doubleOrDetect()
739 UASSERT_OBJ(VN_IS(rhsp, VarRef) || VN_IS(rhsp, ArraySel), changep, "Not ref?"); in doubleOrDetect()
H A DV3LinkInc.cpp69 UASSERT_OBJ(m_insStmtp, nodep, "Function not underneath a statement"); in insertBeforeStmt()
78 UASSERT_OBJ(whilep, nodep, "Insert should be under WHILE"); in insertBeforeStmt()
155 UASSERT_OBJ(nodep, constp, "Expecting CONST"); in prepost_non_stmt_visit()
185 UASSERT_OBJ(nodep, constp, "Expecting CONST"); in prepost_stmt_visit()
H A DV3GraphStream.h59 UASSERT_OBJ(m_numBlockingEdges > 0, vertexp(), "Underflow of blocking edges"); in unblock()
200 UASSERT_OBJ(it != m_waitingVertices.end(), toVertexp, in unblockDeps()
212 UASSERT_OBJ(it != m_waitingVertices.end(), fromVertexp, in unblockDeps()
H A DV3Param.cpp143 UASSERT_OBJ(!pinp->modPTypep(), pinp, in findByParams()
147 UASSERT_OBJ(constp, pinp, in findByParams()
175 UASSERT_OBJ(modIt != m_hierBlockMod.end(), firstPinp, in findByParams()
408 UASSERT_OBJ(cloneiter != clonemapp->end(), pinp, in relinkPins()
413 UASSERT_OBJ(cloneiter != clonemapp->end(), pinp, in relinkPins()
433 UASSERT_OBJ(varIt != nameToPin.end(), varp, in relinkPinsByName()
469 UASSERT_OBJ(modp->hierBlock(), modp, "should be used for hierarchical block"); in parameterizedHierBlockName()
606 UASSERT_OBJ(dtypep, pinp, "unlinked param dtype"); in deepCloneModule()
855 UASSERT_OBJ(nodep->modp(), nodep, "Not linked?"); in visitCellDeparam()
1060 UASSERT_OBJ(pos != string::npos, nodep, in visit()
[all …]
H A DV3Descope.cpp133 UASSERT_OBJ(funcp->scopep(), funcp, "Not scoped"); in makePublicFuncWrappers()
212 UASSERT_OBJ(nodep->varp()->isFuncLocal(), nodep, in visit()
218 UASSERT_OBJ(m_scopep, nodep, "Node not under scope"); in visit()
241 UASSERT_OBJ(m_scopep, nodep, "Node not under scope"); in visit()
H A DV3Table.cpp86 UASSERT_OBJ(!m_initp, m_fl, "Table size already set"); in setTableSize()
87 UASSERT_OBJ(size > 0, m_fl, "Size zero"); in setTableSize()
106 UASSERT_OBJ(!m_varScopep, m_fl, "Table variable already created"); in addValue()
303 UASSERT_OBJ(shift <= 32, nodep, "shift overflow"); in createTables()
309 UASSERT_OBJ(simvis.optimizable(), simvis.whyNotNodep(), in createTables()

1234