Home
last modified time | relevance | path

Searched refs:coverage2 (Results 1 – 25 of 45) sorted by relevance

12

/dports/x11-toolkits/pango/pango-1.48.11/tests/
H A Dtest-coverage.c54 PangoCoverage *coverage2; in test_coverage_copy() local
62 coverage2 = pango_coverage_copy (coverage); in test_coverage_copy()
68 g_assert_cmpint (pango_coverage_get (coverage2, i), ==, PANGO_COVERAGE_EXACT); in test_coverage_copy()
71 pango_coverage_unref (coverage2); in test_coverage_copy()
/dports/biology/sra-tools/sra-tools-2.11.0/ncbi-vdb/test/align/
H A Dpileup_estimator.cpp759 uint32_t * coverage2 = ( uint32_t * )calloc( slice3_len, sizeof * coverage2 ); in TEST_CASE() local
778 if ( coverage1[ pos ] != coverage2[ pos ] ) in TEST_CASE()
789 if ( coverage2[ pos ] >= max_depth ) in TEST_CASE()
792 depths2[ coverage2[ pos ] ] += 1; in TEST_CASE()
813 free( ( void * ) coverage2 ); in TEST_CASE()
828 uint32_t * coverage2 = ( uint32_t * )calloc( slice3_len, sizeof * coverage2 ); in TEST_CASE() local
841 calc_coverage_using_ngs( ACC3, ACC3_REF, slice3_start, slice3_len, coverage2 ); in TEST_CASE()
846 if ( coverage1[ pos ] != coverage2[ pos ] ) in TEST_CASE()
857 if ( coverage2[ pos ] >= max_depth ) in TEST_CASE()
860 depths2[ coverage2[ pos ] ] += 1; in TEST_CASE()
[all …]
/dports/biology/ncbi-vdb/ncbi-vdb-2.11.0/test/align/
H A Dpileup_estimator.cpp759 uint32_t * coverage2 = ( uint32_t * )calloc( slice3_len, sizeof * coverage2 ); in TEST_CASE() local
778 if ( coverage1[ pos ] != coverage2[ pos ] ) in TEST_CASE()
789 if ( coverage2[ pos ] >= max_depth ) in TEST_CASE()
792 depths2[ coverage2[ pos ] ] += 1; in TEST_CASE()
813 free( ( void * ) coverage2 ); in TEST_CASE()
828 uint32_t * coverage2 = ( uint32_t * )calloc( slice3_len, sizeof * coverage2 ); in TEST_CASE() local
841 calc_coverage_using_ngs( ACC3, ACC3_REF, slice3_start, slice3_len, coverage2 ); in TEST_CASE()
846 if ( coverage1[ pos ] != coverage2[ pos ] ) in TEST_CASE()
857 if ( coverage2[ pos ] >= max_depth ) in TEST_CASE()
860 depths2[ coverage2[ pos ] ] += 1; in TEST_CASE()
[all …]
/dports/biology/gatk/gatk-4.2.0.0/src/test/java/org/broadinstitute/hellbender/tools/walkers/rnaseq/
H A DGeneExpressionEvaluationIntegrationTest.java155 final GeneExpressionEvaluation.Coverage coverage2 = coverageMap2.get(feature); in assertResultsEquivalent() local
157 …Lenience(coverage1.getSenseCount(), swapSenseAntiSense? coverage2.getAntisenseCount() : coverage2.… in assertResultsEquivalent()
158 …assertEquivalentWithLenience(coverage2.getSenseCount(), swapSenseAntiSense? coverage1.getAntisense… in assertResultsEquivalent()
/dports/print/texlive-base/texlive-20150521-source/texk/ttfdump/libttf/
H A Dotfcommon.c353 coverage.coverage2 = XCALLOC1 (Coverage2); in otfMakeCoverage()
354 coverage.coverage2->rangeCount = ttfGetUSHORT (fp); in otfMakeCoverage()
355 coverage.coverage2->rangeRecord = XTALLOC (coverage.coverage2->rangeCount, RangeRecord); in otfMakeCoverage()
356 for (i = 0; i < coverage.coverage2->rangeCount; i++) { in otfMakeCoverage()
357 coverage.coverage2->rangeRecord[i].start = ttfGetUSHORT (fp); in otfMakeCoverage()
358 coverage.coverage2->rangeRecord[i].end = ttfGetUSHORT (fp); in otfMakeCoverage()
387 fprintf (fp, "rangeCount: %d\n", coverage.coverage2->rangeCount); in otfPrintCoverage()
388 for (i = 0; i < coverage.coverage2->rangeCount; i++) { in otfPrintCoverage()
390 coverage.coverage2->rangeRecord[i].start, in otfPrintCoverage()
391 coverage.coverage2->rangeRecord[i].end, in otfPrintCoverage()
[all …]
/dports/www/gitlab-workhorse/gitlab-foss-0a901d60f8ae4a60c04ae82e6e9c3a03e9321417/workhorse/vendor/gocloud.dev/internal/testing/
H A Drunchecks.sh131 grep -v test coverage.out > coverage2.out
132 mv coverage2.out coverage.out
/dports/www/gohugo/hugo-0.91.2/vendor/gocloud.dev/internal/testing/
H A Drunchecks.sh134 grep -v test coverage.out > coverage2.out
135 mv coverage2.out coverage.out
/dports/sysutils/gomplate/gomplate-3.9.0/vendor/gocloud.dev/internal/testing/
H A Drunchecks.sh134 grep -v test coverage.out > coverage2.out
135 mv coverage2.out coverage.out
/dports/devel/gitlab-runner/gitlab-runner-8925d9a06fd8e452e2161a768462652a2a13111f/vendor/gocloud.dev/go-cloud-5094f54ed8bb/internal/testing/
H A Drunchecks.sh134 grep -v test coverage.out > coverage2.out
135 mv coverage2.out coverage.out
/dports/security/py-tlslite/tlslite-0.4.9/
H A DMakefile8 COVERAGE2 := $(shell which coverage2 2>/dev/null)
/dports/devel/tinygo/tinygo-0.14.1/llvm-project/clang/test/Analysis/
H A Dcoverage.c38 void coverage2(int *x) { in coverage2() function
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/llvm/clang/test/Analysis/
H A Dcoverage.c38 void coverage2(int *x) { in coverage2() function
/dports/devel/wasi-libcxx/llvm-project-13.0.1.src/clang/test/Analysis/
H A Dcoverage.c38 void coverage2(int *x) { in coverage2() function
/dports/devel/llvm-devel/llvm-project-f05c95f10fc1d8171071735af8ad3a9e87633120/clang/test/Analysis/
H A Dcoverage.c38 void coverage2(int *x) { in coverage2() function
/dports/devel/llvm90/llvm-9.0.1.src/tools/clang/test/Analysis/
H A Dcoverage.c38 void coverage2(int *x) { in coverage2() function
/dports/devel/llvm-cheri/llvm-project-37c49ff00e3eadce5d8703fdc4497f28458c64a8/clang/test/Analysis/
H A Dcoverage.c38 void coverage2(int *x) { in coverage2() function
/dports/devel/llvm12/llvm-project-12.0.1.src/clang/test/Analysis/
H A Dcoverage.c38 void coverage2(int *x) { in coverage2() function
/dports/deskutils/egroupware/egroupware/vendor/defuse/php-encryption/
H A D.travis.yml42 - cat coverage2.xml
/dports/devel/llvm10/llvm-10.0.1.src/tools/clang/test/Analysis/
H A Dcoverage.c38 void coverage2(int *x) { in coverage2() function
/dports/devel/llvm11/llvm-11.0.1.src/tools/clang/test/Analysis/
H A Dcoverage.c38 void coverage2(int *x) { in coverage2() function
/dports/devel/wasi-compiler-rt13/llvm-project-13.0.1.src/clang/test/Analysis/
H A Dcoverage.c38 void coverage2(int *x) { in coverage2() function
/dports/lang/rust/rustc-1.58.1-src/src/llvm-project/clang/test/Analysis/
H A Dcoverage.c38 void coverage2(int *x) { in coverage2() function
/dports/devel/wasi-compiler-rt12/llvm-project-12.0.1.src/clang/test/Analysis/
H A Dcoverage.c38 void coverage2(int *x) { in coverage2() function
/dports/devel/llvm13/llvm-project-13.0.1.src/clang/test/Analysis/
H A Dcoverage.c38 void coverage2(int *x) { in coverage2() function
/dports/devel/llvm80/llvm-8.0.1.src/tools/clang/test/Analysis/
H A Dcoverage.c38 void coverage2(int *x) { in coverage2() function

12