Home
last modified time | relevance | path

Searched refs:is_ram (Results 1 – 25 of 37) sorted by relevance

12

/dports/multimedia/v4l_compat/linux-5.13-rc2/kernel/
H A Diomem.c73 int is_ram = region_intersects(offset, size, in memremap() local
80 if (is_ram == REGION_MIXED) { in memremap()
94 if (is_ram == REGION_INTERSECTS) in memremap()
106 if (!addr && is_ram == REGION_INTERSECTS && flags != MEMREMAP_WB) { in memremap()
/dports/multimedia/libv4l/linux-5.13-rc2/kernel/
H A Diomem.c73 int is_ram = region_intersects(offset, size, in memremap() local
80 if (is_ram == REGION_MIXED) { in memremap()
94 if (is_ram == REGION_INTERSECTS) in memremap()
106 if (!addr && is_ram == REGION_INTERSECTS && flags != MEMREMAP_WB) { in memremap()
/dports/multimedia/v4l-utils/linux-5.13-rc2/kernel/
H A Diomem.c73 int is_ram = region_intersects(offset, size, in memremap() local
80 if (is_ram == REGION_MIXED) { in memremap()
94 if (is_ram == REGION_INTERSECTS) in memremap()
106 if (!addr && is_ram == REGION_INTERSECTS && flags != MEMREMAP_WB) { in memremap()
/dports/multimedia/libv4l/linux-5.13-rc2/include/linux/
H A Ddma-direct.h100 bool is_ram) in dma_capable() argument
106 if (is_ram && !IS_ENABLED(CONFIG_ARCH_DMA_ADDR_T_64BIT) && in dma_capable()
/dports/multimedia/v4l_compat/linux-5.13-rc2/include/linux/
H A Ddma-direct.h100 bool is_ram) in dma_capable() argument
106 if (is_ram && !IS_ENABLED(CONFIG_ARCH_DMA_ADDR_T_64BIT) && in dma_capable()
/dports/multimedia/v4l-utils/linux-5.13-rc2/include/linux/
H A Ddma-direct.h100 bool is_ram) in dma_capable() argument
106 if (is_ram && !IS_ENABLED(CONFIG_ARCH_DMA_ADDR_T_64BIT) && in dma_capable()
/dports/multimedia/v4l_compat/linux-5.13-rc2/mm/
H A Dmemremap.c206 int error, is_ram; in pagemap_range() local
226 is_ram = region_intersects(range->start, range_len(range), in pagemap_range()
229 if (is_ram != REGION_DISJOINT) { in pagemap_range()
231 is_ram == REGION_MIXED ? "mixed" : "ram", in pagemap_range()
/dports/multimedia/libv4l/linux-5.13-rc2/mm/
H A Dmemremap.c206 int error, is_ram; in pagemap_range() local
226 is_ram = region_intersects(range->start, range_len(range), in pagemap_range()
229 if (is_ram != REGION_DISJOINT) { in pagemap_range()
231 is_ram == REGION_MIXED ? "mixed" : "ram", in pagemap_range()
/dports/multimedia/v4l-utils/linux-5.13-rc2/mm/
H A Dmemremap.c206 int error, is_ram; in pagemap_range() local
226 is_ram = region_intersects(range->start, range_len(range), in pagemap_range()
229 if (is_ram != REGION_DISJOINT) { in pagemap_range()
231 is_ram == REGION_MIXED ? "mixed" : "ram", in pagemap_range()
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/x86/mm/pat/
H A Dmemtype.c911 int is_ram = 0; in reserve_pfn_range() local
916 is_ram = pat_pagerange_is_ram(paddr, paddr + size); in reserve_pfn_range()
923 if (is_ram) { in reserve_pfn_range()
980 int is_ram; in free_pfn_range() local
982 is_ram = pat_pagerange_is_ram(paddr, paddr + size); in free_pfn_range()
983 if (is_ram == 0) in free_pfn_range()
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/x86/mm/pat/
H A Dmemtype.c911 int is_ram = 0; in reserve_pfn_range() local
916 is_ram = pat_pagerange_is_ram(paddr, paddr + size); in reserve_pfn_range()
923 if (is_ram) { in reserve_pfn_range()
980 int is_ram; in free_pfn_range() local
982 is_ram = pat_pagerange_is_ram(paddr, paddr + size); in free_pfn_range()
983 if (is_ram == 0) in free_pfn_range()
/dports/multimedia/libv4l/linux-5.13-rc2/arch/x86/mm/pat/
H A Dmemtype.c911 int is_ram = 0; in reserve_pfn_range() local
916 is_ram = pat_pagerange_is_ram(paddr, paddr + size); in reserve_pfn_range()
923 if (is_ram) { in reserve_pfn_range()
980 int is_ram; in free_pfn_range() local
982 is_ram = pat_pagerange_is_ram(paddr, paddr + size); in free_pfn_range()
983 if (is_ram == 0) in free_pfn_range()
/dports/devel/nextpnr/nextpnr-48cd407/ice40/
H A Dcells.h63 inline bool is_ram(const BaseCtx *ctx, const CellInfo *cell) in is_ram() function
/dports/emulators/mess/mame-mame0226/src/mame/includes/
H A Dti85.h226 …void ti8x_update_bank(address_space &space, uint8_t bank, uint8_t *base, uint8_t page, bool is_ram
/dports/emulators/mame/mame-mame0226/src/mame/includes/
H A Dti85.h226 …void ti8x_update_bank(address_space &space, uint8_t bank, uint8_t *base, uint8_t page, bool is_ram
/dports/emulators/mess/mame-mame0226/src/mame/machine/
H A Dti85.cpp137 …ate::ti8x_update_bank(address_space &space, uint8_t bank, uint8_t *base, uint8_t page, bool is_ram) in ti8x_update_bank() argument
143 if (is_ram) in ti8x_update_bank()
/dports/emulators/mame/mame-mame0226/src/mame/machine/
H A Dti85.cpp137 …ate::ti8x_update_bank(address_space &space, uint8_t bank, uint8_t *base, uint8_t page, bool is_ram) in ti8x_update_bank() argument
143 if (is_ram) in ti8x_update_bank()
/dports/emulators/qemu-utils/qemu-4.2.1/accel/tcg/
H A Dcputlb.c719 bool is_ram, is_romd; in tlb_set_page_with_attrs() local
749 is_ram = memory_region_is_ram(section->mr); in tlb_set_page_with_attrs()
752 if (is_ram || is_romd) { in tlb_set_page_with_attrs()
761 if (is_ram) { in tlb_set_page_with_attrs()
/dports/emulators/qemu-powernv/qemu-powernv-3.0.50/migration/
H A Dsavevm.c307 int is_ram; member
627 se->is_ram = 1; in register_savevm_live()
1385 if (se->is_ram) { in qemu_save_device_state()
2099 if (xen_enabled() && se->is_ram) { in qemu_loadvm_section_start_full()
/dports/emulators/qemu42/qemu-4.2.1/accel/tcg/
H A Dcputlb.c719 bool is_ram, is_romd; in tlb_set_page_with_attrs() local
749 is_ram = memory_region_is_ram(section->mr); in tlb_set_page_with_attrs()
752 if (is_ram || is_romd) { in tlb_set_page_with_attrs()
761 if (is_ram) { in tlb_set_page_with_attrs()
/dports/emulators/qemu42/qemu-4.2.1/migration/
H A Dsavevm.c248 int is_ram; member
748 se->is_ram = 1; in register_savevm_live()
1578 if (se->is_ram) { in qemu_save_device_state()
2287 if (xen_enabled() && se->is_ram) { in qemu_loadvm_section_start_full()
/dports/emulators/qemu-utils/qemu-4.2.1/migration/
H A Dsavevm.c248 int is_ram; member
748 se->is_ram = 1; in register_savevm_live()
1578 if (se->is_ram) { in qemu_save_device_state()
2287 if (xen_enabled() && se->is_ram) { in qemu_loadvm_section_start_full()
/dports/emulators/qemu-guest-agent/qemu-5.0.1/migration/
H A Dsavevm.c248 int is_ram; member
776 se->is_ram = 1; in register_savevm_live()
1586 if (se->is_ram) { in qemu_save_device_state()
2295 if (xen_enabled() && se->is_ram) { in qemu_loadvm_section_start_full()
/dports/emulators/qemu-cheri/qemu-0a323821042c36e21ea80e58b9545dfc3b0cb8ef/migration/
H A Dsavevm.c248 int is_ram; member
776 se->is_ram = 1; in register_savevm_live()
1586 if (se->is_ram) { in qemu_save_device_state()
2295 if (xen_enabled() && se->is_ram) { in qemu_loadvm_section_start_full()
/dports/emulators/qemu5/qemu-5.2.0/migration/
H A Dsavevm.c247 int is_ram; member
775 se->is_ram = 1; in register_savevm_live()
1585 if (se->is_ram) { in qemu_save_device_state()
2387 if (xen_enabled() && se->is_ram) { in qemu_loadvm_section_start_full()

12